在采样和检查之间添加延迟

问题描述 投票:0回答:1

我写了一个断言属性。我想在采样和检查操作之间添加延迟。

基本上下面的断言说当sig1或sig2 1时assert_sig应该是稳定的。

property check_assert(assert_sig, assert_sig_dis);
    @(assert_sig)
    disable iff(!lane_assertion_enabled || assert_sig_dis)
    ((sig1!==1'b1) && (sig2!==1'b1));
  endproperty

现在问题是assert_sig与sig1断言同时断言。这就是断言失败的原因。我想在检查操作和采样之间添加1ps的延迟。可能吗?或者任何其他方法也将受到赞赏。提前致谢。

verilog delay system-verilog assertion
1个回答
0
投票

时钟模块提供采样偏移

clocking as @(assert_sig)
input #1ps sig1;
endclocking

然后在您的财产中使用as.sig1

© www.soinside.com 2019 - 2024. All rights reserved.