EDA Playground EPWave $dumpfile 错误:找不到 vcd 文件

问题描述 投票:0回答:1

我正在尝试在 EDA Playground 中模拟我的设计。我在我的本地计算机上使用 ModelSim(不是来自 EDA)测试了我的设计文件和测试台文件,它是成功的。但是,我尝试对 EDA Playground 做同样的事情。在没有EPWave的情况下编译运行成功。

当我尝试单击“打开 EPWave”选项时,它给了我一个名为

的错误

没有找到 *.vcd 文件。 EPWave 不会打开。您是否使用了 '$dumpfile("dump.vcd"); $dumpvars;'?

我该如何解决这个问题?

这是我设计的链接https://www.edaplayground.com/x/A9Rb

verilog system-verilog questasim edaplayground
1个回答
2
投票

这是世界上最有帮助的错误信息;它告诉你要写什么代码。基本上,Verilog 模拟器需要你做

  • 打开一个文件来存储波形信息,这就是
    $dumpfile("dump.vcd");
    确实;
  • 指定波形 有关您要将设计的哪些部分存储在 文件,这就是
    $dumpvars;
    所做的(存储所有内容,在此 案例)。

您需要将这两行代码添加到初始块的开头,可以是完全独立的代码块,也可以是现有的代码块,例如第 21 行:

initial begin
    $dumpfile("dump.vcd"); $dumpvars;
    //ADDITION
    ALU_CONTROL = 5'b00100;
© www.soinside.com 2019 - 2024. All rights reserved.