如何定义Vector2f的默认参数? [SFML]

问题描述 投票:0回答:1

我在类Class中有一些函数func:

 void func(int arg1, int arg2, Vector2f vec = Vector2f(0,0)){}; 

并且我得到一个构建错误:

error C2572: 'Classs::func': redefinition of default argument: parameter 1

我尝试了许多不同的语法,但均无效果。如何定义此类型的默认参数?

c++ sfml build-error default-parameters
1个回答
1
投票

仅在原型中指定默认参数。不要在函数定义中重复此操作。

// prototype
void func(int arg1, int arg2, Vector2f vec = Vector2f(0,0));

// definition
void func(int arg1, int arg2, Vector2f vec)
{
    ...
}

此建议适用于默认参数。与类型无关。

© www.soinside.com 2019 - 2024. All rights reserved.