谁能帮我写一个低于 SV 的约束?

问题描述 投票:0回答:1

我想为以下条件编写系统verilog约束。 编写约束以生成大小不超过的地址。大小从 4K 到 1MB 不等,并且总是 4K 对齐(如 4k、8k、12k...) 大小:4K-1MB,4K对齐,

我找不到解决方案

constraints
1个回答
0
投票
   String disName = txtDisplay.getText().trim();
    String us = txtuser.getText().trim();
    String ps = String.valueOf(txtpass.getPassword());
    if(disName.equals("")){
        JOptionPane.showMessageDialog(this, "Display name can not empty");
    }
    else if(us.equals("")){
        JOptionPane.showMessageDialog(this, "User can not empty");
    }
    else{
        try {
            FileDatabase DB = new FileDatabase(Homework6_1.FILE_PATH);
            Person p = new Person(disName,us, ps);
            int id = DB.WritePerson(p);
            Homework6_1.currentUser = p;
            Homework6_1.currentUser.setId(id);
            this.dispose();
            FromMain main = new FromMain();
            main.setVisible(true);
        } catch (Exception e) {
            e.printStackTrace();
        }
    }
© www.soinside.com 2019 - 2024. All rights reserved.