Uvm工厂注册消歧

问题描述 投票:0回答:0

考虑导入2个包pkg_A和pkg_B的验证环境

两个包都包含一些通用的类定义(即相同的类名、类属性等):

pkg_A.sv

class *some_name*;

`uvm_object_utils(*some_name*)

pkg_B.sv

class *some_name*;

`uvm_object_utils(*some_name*)

这使得同一个类名被注册到工厂两次,导致:

UVM_WARNING @ 0: reporter [TPRGED] Type name some_name already registered with factory.不支持具有相同类型名称的多种类型的基于字符串的查找。

考虑 pkg_A 和 pkg_B 都有许多同名的类。如何解决?

factory registration verification uvm disambiguation
© www.soinside.com 2019 - 2024. All rights reserved.