如何使用速度模板语言(VTL)获得数组中的最大值

问题描述 投票:0回答:1

使用速度模板语言(VTL),我想获得数组的最大值。我花了相当长的时间浏览Apache Velocity的文档,但找不到执行此操作的方法。

这是我的示例数组:

#set($array = [2,4,12,3,1,4,34,8])
$sorter.sort($array)

在这个例子中,我想得到34

arrays velocity vtl apache-velocity
1个回答
0
投票

我已经建立了一个可能很“脏”但可以满足我需求的解决方案。我正在对数组进行排序,并通过获取数组的大小来简单地查找列表中的最后一个值:

#set($array = [2,4,12,3,1,4,34,8])
#set($sortedArray = $sorter.sort($array))
#set($MindIndexStartsFromZero = $math.sub($array.size, 1))
#set($highestValue = $sortedArray.get($MindIndexStartsFromZero))
$highestValue
© www.soinside.com 2019 - 2024. All rights reserved.