产生的触发器的数目的Verilog代码

问题描述 投票:-3回答:1

如何计算的Verilog代码生成或使用触发器的数量,而无需使用任何工具?

enter image description here

verilog fpga hdl digital-logic vlsi
1个回答
0
投票

你数位上总是@内部非阻塞赋值的LHS数(POS / neg_edge ...)。 (在“非阻塞”形容词是多余的,因为你应该只具有非阻断@内的分配(POS / neg_edge时钟)部分)。

下面的代码产生12个寄存器:

reg [7:0] my_byte1,my_byte2;
...
always @(posedge clock)
begin
   my_byte1     <= something_which_is_8_bits_wide;
   my_byte2[3:0]<= something_else_which_is_4_bits_wide;
end
© www.soinside.com 2019 - 2024. All rights reserved.