如何将隐式参数传递给隐式类

问题描述 投票:0回答:1

我想将隐式参数传递给我的pimped类型,就像我在实际类型中所做的那样。但由于隐式类只接受一个参数,因此我没有办法隐式地将参数传递给我的新类型。

package com.abc.xyz

class Pet(val petName: String){
  override def toString = petName
}

class PetLover(val name: String)(implicit val pet: Pet) {
  def showLove = s"${name} shows love, ${pet} waves tail"
}

我试图让PetLover皮条客进入PetTrainer并添加一个新方法列车:

package com.abc

import com.abc.xyz.PetLover

package object mno {
  implicit class PetTrainer(val trainer: PetLover) extends AnyVal{
    def train = s"${trainer.name} show hand," //${pet} high fives"
  }
}

我该怎么做才能让Pet隐含地使用PetTrainer?

scala design-patterns implicit
1个回答
0
投票

该值已通过trainer.pet提供:

def train = s"${trainer.name} shows hand, ${trainer.pet} high fives"

如果不是这种情况,您可以向train添加隐式参数:

def train(implicit pet: Pet) = s"${trainer.name} shows hand, ${pet} high fives"
© www.soinside.com 2019 - 2024. All rights reserved.