精化任务“$dumpvars”需要 SystemVerilog
是我执行时在终端中显示的错误
iverilog -o test_tb.vvp test_tb.v
类似$dumpvars
代码是
//设计
module test (a,b);
input a;
output b;
assign b=a;
endmodule
//测试平台
`timescale 1ns/1ns
`include "test.v"
module test_tb ();
reg a;
wire b;
test uut(a,b);
$dumpfile("test_tb.vcd");
$dumpvars(0,test_tb);
initial begin
a=0;
#20;
a=1;
#20;
a=0;
#20;
$display("test complete");
end
endmodule
系统任务调用需要像这样在初始块中:
initial begin
$dumpfile("test_tb.vcd");
$dumpvars(0,test_tb);
end
• EDA Playground EPWave $dumpfile 错误:找不到 vcd 文件
• 如何像在 Verilog 实例数组中那样实例化 VHDL 实例数组?
• editor.codeActionsOnSave 的 source.organeImports 在 vscode 的开发容器中不起作用
• verilog 模块中的 reg 和 wire 有什么区别?
• 是否允许模块标识符与 Verilog 中的模块类型相同?
• 当我输入命令 npx react-native run-android 我得到 1 个错误和 1 个警告,警告显示在 vscode 的终端窗口旁边