如何使用readmemh在Verilog中读取多维数组?

问题描述 投票:-1回答:1

我有一个多维数组

logic [7:0] memory[1:0][1:0];

用逗号分隔的file.txt1,23,4

我无法使用readmemh加载此文件

initial
begin
   $readmemh("file.txt", memory);
end

您能否提出解决方案?谢谢!

arrays verilog system-verilog test-bench register-transfer-level
1个回答
0
投票
logic [7:0] mem [1:0][1:0]

对于上述4个元素,每个8位排列。如下定义mem.dat文件

01 02
03 04

然后使用readmemh一次读取文件

initial
begin
   $readmemh("mem.dat", mem);
end
© www.soinside.com 2019 - 2024. All rights reserved.