当Verilog的always块的敏感列表中的多个变量同时改变时会发生什么?

问题描述 投票:0回答:1

always 块是否可以在一个时间步内执行多次?即每次敏感列表中的信号发生变化时立即执行?如果没有,是否有关于这种情况下如何表现的规则?

verilog hdl
1个回答
0
投票
always @(list) statement

相当于

initial begin
         @(list)
         statement 
         @(list)
         statement
         @(list)
         statement
         ...

与任何事件控制一样

@
,进程必须首先挂起自身,然后事件必须发生。因此,根据事件更新的发生方式,该语句有可能在同一时间步内多次执行。

© www.soinside.com 2019 - 2024. All rights reserved.