clock 相关问题

驱动程序,操作系统和硬件语言使用的硬件和系统时钟。

Javascript时钟与设备不同

我目前正在学习一些Javascript,我做了一个实时时钟(实际上我修改了W3school的代码,但不管怎样......我已经知道如何在PHP中做一个时钟,所以没有意义重复......)。

回答 4 投票 1

时钟中的settom不启动

我建立了一个简单的时钟,从过去的日期算到现在。但是设置的时间间隔不想运行和更新。我试过setInterval(updater, 100);和setInterval(...

回答 2 投票 -1

我如何将信号输出作为慢时钟域生成,而慢时钟域是快速时钟域的倍数?

我有一个使用40-60 MHz时钟域的FPGA。我的输出将是该时钟域的慢倍数。因此,如果我有40 MHz振荡器,则输出接口将为5 MHz。我...

回答 1 投票 0

为时钟应用程序M创建时间模式

因此,对于此应用程序,我想创建具有四种模式的时钟。营业时间:当地时间9:00 am – 5:59 pm营业时间:本地时间6:00 pm – 7:59 pm人员时间:本地时间:8:00 am – 8:59 am,8:...

回答 1 投票 0

在2D数组上滚动文本效果

对于我正在研究的项目,我希望能够像这样“滚动”一个数组:这是到目前为止的代码:private boolean [] [] display = this.parseTo8BitMatrix(“ Here”); private int scroll = ...

回答 1 投票 0

VHDL 1个时钟的信号延迟,另一个时钟的2个时钟的延迟

有人可以向我解释为什么我的计数有一个刻度延迟,而我的总数有两个刻度延迟吗?我是一个初学者,所以对某些人来说这似乎微不足道,但是我真的不明白这个问题。这模拟了...

回答 1 投票 0

Python While循环不迭代变量,仅打印命令

背景情况-我正在制作一个特殊的时钟,它将通过WS2812B像素显示时间,并且可以随时学习!我有一本字典,其中将包含以...

回答 1 投票 0

Verilog计时和时钟-输入和输出问题

我已经用verilog编写了此代码,但是我的代码有问题!我想做的是输入数字0-127,以便可以将它们写在mem中,然后再读取它们,然后看到...

回答 1 投票 0

无法在数字时钟的Verilog仿真中获得输出

我想设计一个秒计数器,使用Mod10COunter和Mod6Counter从0到59。我正在尝试使用测试平台测试输出。生成的时钟是完美的。但是,...

回答 1 投票 3

时钟门控verilog代码无法正常工作

我正在尝试编写一个时钟门控逻辑,该逻辑仅允许数据在posege write_clk_en处传递。代码在EDA运动场中正确编译,但是输出不符合预期。因此,根据...

回答 1 投票 0

Lambda的变量不在范围内

我正在尝试诊断多核处理器[Xeon Silver]上的计时问题。我认为时钟尚未在处理器之间配置或同步。我正在使用Eli Bendersky的[已认证...

回答 3 投票 0

C ++中的clock_gettime()和python中的time.time()是否相等?

我正在使用boost python,并尝试测量从C ++到python的切换的延迟,反之亦然。但是我认为我做错了,我通过clock_gettime()(t0)在C ++中获取时间,并将其作为...

回答 1 投票 0

Nucleo上的STM32L476,不能在80 Mhz上运行

我正在为Nucleo-L476RG开发板在一个全新的空白新项目上运行以下代码:GPIOA-> BSRR = GPIO_PIN_4; GPIOA-> BRR = GPIO_PIN_4;时钟配置为默认设置,如下所示:I ...

回答 1 投票 0

我的Raspberry Pi:s的时钟正在漂移,如何使它尽可能准确?

Raspberry Pi没有真正的时钟来跟踪时间。相反,它使用NTP守护程序来保持日期和时间尽可能准确。我想这应该可以工作,但是就我而言,这对某些人来说是不行的。

回答 2 投票 2

vhdl中的时钟分频器,从100MHz到1Hz代码

我编写了这段代码,将时钟分频为一个nexys4 fpga,默认情况下其集成时钟为100Mhz频率,我需要将其分频为1hz。有人可以告诉我它是否正确...

回答 1 投票 0

正在检索C中的最后一个启动时间戳记

我已经能够从/ proc / uptime检索正常运行时间值(以秒为单位)。但是,我需要使用C检索上次启动时间戳。(我无法使用system(...)函数调用正常运行时间。)...

回答 2 投票 1

如何使用Verilog从100MHz时钟生成400MHz和500MHz时钟?

对于400 MHz:实时延迟= 1.25;总是开始#delay clk = 0; #delay clk = 1;这不起作用。

回答 1 投票 0

如何使用Verilog从100Mhz时钟生成400MHz和500MHz时钟?

对于400 mhz实时延迟= 1.25;总是开始#delay clk = 0; #delay clk = 1;这个不是正在工作。请帮助

回答 1 投票 0

STM32L4-SPI2时钟问题

我目前正在STM32L476RG Nucleo板上工作,正在尝试与SPI2总线进行通信。看来我正在用MOSI引脚发送数据,但SCK引脚上没有任何东西。 ...

回答 1 投票 3

如何使setInterval函数正常工作?

对于我的学校项目,我试图显示一个实时刷新小时/分钟/秒的时钟。我想使用SetInterval还是错误的想法?谁能帮我吗? &...

回答 1 投票 -2

© www.soinside.com 2019 - 2024. All rights reserved.