intel-fpga 相关问题

英特尔FPGA(正式名称为Altera)是英特尔的全资子公司,是现场可编程门阵列(FPGA)的主要品牌。

VHDL内部信号分配不起作用

我是 VHDL 编程的新手,我在使用此 VHDL 代码(同步计数器)时遇到问题。变量 Q_AUX_4 从未分配给输出 Q_OUT,因为当我尝试运行模拟时,使用

回答 1 投票 0

Verilog 模块在为输入赋值时始终采用默认情况

我刚刚开始使用Verilog。我的第一个项目是一个用 16 位输入控制 4 个 7 段显示器的模块。我的 BCDtoSSeg 模块如下: 模块 BCDtoSSeg(BCD、SSe...

回答 1 投票 0

Quartus-FPGA:禁用路径优化

模块路径1(输出,输入,w0,w1,w2,w3,w4,w5,w6,w7,w8,w9); 输入; 输出输出; 输出w0、w1、w2、w3、w4、w5、w6、w7、w8、w9; 不是(w0,在); 不是(w1,w0); 不是(w2,w1); 不是(w3,w2); 不是(w4,w3)...

回答 2 投票 0

什么是“严格控制信号”以及为什么它的输入不受约束?

这来自《STA for nanometer design》一书 本节描述输入路径的约束。这 这里需要注意的重要一点是 STA 无法检查 路径...

回答 1 投票 0

从 BMP 照片中提取内存初始化文件(MIF)

我正在使用 Quartus 开发 Altera 的 DE12-115 微处理器。为了使用内置 VGA 连接将 BMP 图像显示到显示器上,我必须首先将 BMP 图像转换为 i...

回答 3 投票 0

使用 AVR 编程器代替 USB Blaster 对 FPGA 进行编程

我买了一块 MAX 10 FPGA 10M08 开发板,上面有一个 JTAG,标明可以使用 Altera USB Blaster 进行编程。不耐烦,我可以使用我的 AVR 编程器并连接,假设目标功率......

回答 2 投票 0

错误 (10170):Verilog HDL 语法错误 (59) 靠近文本:“posedge”;期待一个操作数

我在第 59 行遇到错误。我尝试用 Google 搜索,但找不到任何内容这是我的代码: 总是@(kedge clk 或 negedge nReset) 开始 if (min_start_in == 1'b1) 开始 数...

回答 1 投票 0

如何使用 Cocotb 将 IP 库添加到 Questa?

我正在尝试使用 cocotb 在 Questa 中模拟 Intel IP (AVST CDC) 的测试平台。添加生成的模拟文件以在 cocotb 中运行的正确方法是什么? 我已经生成了 IP 模拟

回答 1 投票 0

使用 Altera Megafunction LPM_SHIFTREG 的 Fibonacci LFSR - 如何初始化? [VHDL]

我在设计线性反馈移位寄存器时遇到了令人沮丧的时间,其中需要使用Altera的LPM,在本例中为LPM_SHIFTREG。这必须使用,因为我有一个作业并且 e...

回答 1 投票 0

如何在quartus中初始化具有不同内容的多个实例的ram

我设计了一个RAM模块,我需要这个模块的多个实例,每个实例都有不同的内存初始化文件。 Quartus手册说Quartus支持$readmemh()函数

回答 3 投票 0

在 Quartus 中分析同步器 MTBF

我收到来自 Quartus 的消息,它发现了同步器链,但无法对其执行 MTBF 分析。然而,除了如何识别同步器之外,手册中没有真正解释任何内容......

回答 1 投票 0

Altera FPGA .jic 文件中的校验和

我正在使用一个小算法修改固件文件(.jic)JTAG间接配置文件,但是更改文件内的数据会使其无法使用,因为文件中的某处有校验和...

回答 4 投票 0

如何修复 libXft.so.2:在 Pop_OS 20.04 上运行的 Quartus 20.1 中模拟硬件时无法打开共享对象文件

我最近迁移到 Linux 并正在习惯该操作系统,我设法安装并运行 Quartus 20.1 Lite,并且我正在使用一个旧的工作项目对其进行测试。当我打开波形并运行时...

回答 1 投票 0

让两条线在DE2-115液晶屏上工作

DE2-115 LCD 上的两条线都无法正常工作。我知道我需要更改 DDRAM 地址以获得开始打印消息的起始位置,但我不是

回答 1 投票 0

如果我想入门FPGA,我应该先学51单片机还是STM32?

我是物联网工程专业的大二学生。我对FPGA比较感兴趣,想尝试学习一下。然而,我发现自己对数字电路和计算机的基础知识还缺乏……

回答 1 投票 0

尝试使用fpga在640x480 vga显示器上显示

我真的是在绝望中写下这篇文章的。我已经尝试了很多次让它发挥作用,但就是不行。 我正在使用 Altera DE2 板 - Cyclone II EP2C35F672C6 并一直在尝试展示简单的 i...

回答 1 投票 0

使用 jupyter 笔记本进行 fpga 仿真的 dpcc 代码

我在 Jupyterlab 上对 FPGA 进行霍夫变换仿真,但随后我所要做的就是运行单元: 我收到以下错误 -> u196294 正在执行霍夫变换编译

回答 1 投票 0

Verilog HDL 中基于按钮的双向 4 位计数器

我正在尝试编写控制4位计数器(LED)的Verilog HDL程序。按“key0”递增计数器,按“key1”递减计数器。按键具有反转逻辑,因此活动状态为 l...

回答 0 投票 0

CLOCK_DEDICATED_ROUTE 创建 RS 锁存器时出错

我正在尝试用 VHDL 描述一个 RS 异步锁存器。我从 vivado 收到此错误。 [放置 30-574] IO 引脚和 BUFG 之间的路由放置不当。 如果这个次优条件是

回答 2 投票 0

Verilog I2C 主模块似乎将 SDA 设置为双向,但在发送 cmd 后无法获得任何响应 [关闭]

我正在尝试通过 DE1-SOC 板与 HTU21D(F) 湿度和温度传感器通信。传感器位于分线板上,分线板上有用于 i2c 的上拉电阻。最接近的匹配...

回答 0 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.