riscv 相关问题

RISC-V是一种新的指令集架构(ISA),最初设计用于支持计算机体系结构研究和教育,现在已成为RISC-V Foundation治理下的行业实现的标准开放架构。

RiscV跳转(j,jal)到错误的地址(偏移2)

我正在使用riscV处理器(RV32)。我写了一些代码,我注意到一些奇怪的东西。当我使用“JAL”指令或“J”指令跳转到特定地址时,似乎......

回答 3 投票 0

我如何在RISC V汇编中声明一个向量?

在mips,我可以做(工作没问题):。数据a:.word -7,8,107,11,13 #vector但是在模拟器Ripes中,我不能这样做,这是一个错误或者有其他模式来编写“向量”?

回答 1 投票 1

RISC-V RV32M规范v2.0:为什么不在DIV之前进行零检查?

对整数除法异常的评论建议在DIV之后添加一个分支以检查除零情况。由于所有除数都包含在寄存器中,因此似乎略微更多......

回答 1 投票 2

使用字母的十进制/二进制表示从小写转换为大写

我正在使用RISC-V,我只能使用和,或xori,加法,减法,乘法,整数值的除法。例如,字母“a”将表示为97和“aa”......

回答 1 投票 0

如何将RISC-V SYSTEM指令实现为陷阱?

我目前正在研究RISC-V的规范,规范版本为2.2,Privileged Architecture版本为1.10。在RISC-V规范的第2章中,提到“......虽然......

回答 1 投票 5

Chisel 3的Queue标准库接口合成了什么?

在备忘单中有关于凿子(解耦,有效等)的队列和其他标准库接口的简要定义,以及凿子手册中的更多细节。我也发现了这两个......

回答 2 投票 2

为什么首次执行Ada过程所需的时间比其他执行时间长?

我尝试为FE310微控制器编写延迟程序。我需要编写此过程,因为我使用的是零足迹运行时(ZFP),它不提供本机Ada延迟。程序,流程 ...

回答 1 投票 2

如何为RISC-V Rocket-Chip创建SystemC代码?

使用Rocket-Chip生成器,我可以使用内置版本的Verilator创建Verilog输出和C ++仿真器。我想使用Verilator使用默认配置生成SystemC代码...

回答 1 投票 0

位宽推断问题

凿子代码(下面提供)传递测试并进行编译,但是在尝试生成verilog文件时发生错误。凿子代码:import chisel3._ import chisel3.core.VecInit ...

回答 1 投票 2

© www.soinside.com 2019 - 2024. All rights reserved.