synthesis 相关问题

综合将高级电路描述转换为逻辑门中的实现。

变更单分析设计文件通过Vivado HLS 2019提交了我的项目

我正在使用xlininx的vivado xls创建顶级功能。在我的项目中,有5个文件:P434.c util.c sidh.c fpx.c crypt.c当我运行C Synthesys时,对文件的分析开始于...

回答 1 投票 0

在案例陈述系统Verilog中生成块

我想选择性地在系统Verilog中编译以下代码:always_comb begin out = 0; case(exp)state_1:out = a * b;状态_2:out = b | c; state_3:out = c ^ d; endcase end这是...的正确方法吗?

回答 1 投票 0

Vivado可以处理用户定义的物理类型吗?

我为Xilinx XST,iSim,Altera Quartus II,Mentor Graphics QuestaSim和GHDL编写了一些跨平台VHDL库。现在我想移植我的ISE 14.7项目,该项目使用这些库到Vivado ...

回答 2 投票 0

VHDL合成:条件重置值

我想知道逻辑合成器如何解释条件复位值。例如,FSM的初始状态取决于异步复位信号和一些其他信号,如下所示。 ...

回答 1 投票 0

如何定义网表合成一个自定义单元格?

我目前工作的一个CPU设计中,我想不同的微架构的力量,速度和面积的方式进行比较。这些微体系结构只能通过不同的实例不同...

回答 1 投票 0

为什么Axi lite总线会出现两个BRAM_18K?

下面是我的简单代码:void MyFunc(float input [10],float output [10]){#pragma HLS INTERFACE s_axilite port = input bundle = BUS_INPUT const float temp [10] = {0.0f,0.1f,0.2f, 0.3f,0.4F,0.5F,0.6f,0.7F,0 ....

回答 1 投票 0

子类型指示VHDL200X的语法非法

我正在尝试创建一个“动态”2D数组,我可以在我的实体中使用泛型设置。我按照https://s3.amazonaws.com/verificationhorizo ns.verificationacademy.com/volume-8_issue-3 /中的示例进行了操作。

回答 2 投票 4

Lattice ICE5LP4K FPGA:如何将HFOSC添加到用户vhdl

我在使用莱迪思ICE5LP4K的内部振荡器时遇到了麻烦。根据iCE40振荡器使用指南附录,verilog中的代码应如下所示:SB_HFOSC OSCInst0(....

回答 2 投票 2

Verilog的。将输出设置为纹波加法器中的输入

我不久前开始使用Verilog,并且在我的波纹加法器中遇到了一些条件语句。我有一个6位纹波加法器(可行),但我想添加一个额外的功能。我有2 ...

回答 1 投票 -1

如何从TSMC 65nm标准单元库生成.db文件?

我之前一直在使用TSMC 180nm标准单元库,这里是它的目录结构:在synopsys目录中,事情如下:文件slow.db用于合成RTL ...

回答 1 投票 -1

如果隐含的敏感性列表更改,则SystemVerilog always_comb不会重新评估

这是一段代码,带有3个不同的always语句,应该是可合成的。问题如下:always_comb begin c_cntr1 = cntr1; c_func_val1 = diff(0,c_cntr1); ...

回答 1 投票 0

在合成中超出了循环迭代限制,但在模拟中没有

我在verilog中编写代码,循环通过活动通道。想法是跳过活动向量中标记为0的通道。我在simulatior的模拟器屏幕上测试了代码,它......

回答 1 投票 2

使用python生成/合成声音?

有可能让python生成像正弦波一样的简单声音吗?有可用的模块吗?如果没有,你会如何创建自己的?还有,你需要某种......

回答 7 投票 33

从Verilog Synthesizer获得FFGEN

每当我通过Design Vision合成器传递以下行为代码时,我都会得到FFGEN实例,这意味着合成器将我的逻辑视为具有锁存器,即使它应该......

回答 2 投票 -1

Web Audio API - 可以解调Osc的特定LR通道吗?

API中的振荡器节点似乎具有立体声输出。有没有办法在一个立体声通道中调整输出频率以产生相位效应?无需创建......

回答 1 投票 0

Verilog - 是否可以根据生成块中的genvar变量为寄存器赋值

我有一些类似的代码(下面是一个例子):genvar x; genvar y;生成for(y = -off; y <off; y = y + 1)start for(x = -off; x <off; x = x + 1)begin ...

回答 1 投票 0

Verilog高阻抗输出合成

我通常不会在verilog中使用inout或高阻抗状态进行合成(假设内部逻辑最终必须将其作为标准CMOS 2状态逻辑实现)。 ...

回答 1 投票 0

Vivado高级综合

至于Vivado如何验证设计可以说一般的矩阵乘法场景。如果目标FPGA板不可用,那么如何比较计算的速度比较......

回答 2 投票 0

我们能合成一个简单的通用内存吗?

我正在尝试使用设计编译器中的简单通用内存模型进行综合。但我发现下面有一些错误信息,我使用简单的通用内存模型作为下面的模块......

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.