system-verilog 相关问题

SystemVerilog是基于Verilog扩展的统一硬件设计,规范和验证语言。

如何修复此 Verilog 语法分配错误?

问题 我正在为一个项目创建一个电路。在这段代码中,我想保存曼切获胜者的游戏选择。 我在控制了实际获胜者之后选择游戏选择。 这……

回答 1 投票 0

SV 和 JK 触发器的 BCD 计数器实现问题

我正在努力使用T触发器(JK,J=K=1)在SystemVerilog中实现BCD计数器。目标是从 0 数到 9,然后重置回 0。我正在使用 JK 触发器的 clr 输入,

回答 1 投票 0

print_config 不显示值

我正在尝试调试一些遗留的 UVM 代码,但无法弄清楚发生了什么。不管怎样,在我的努力过程中,我遇到了这个函数,print_config(1),它应该打印出配置数据库

回答 1 投票 0

监视器/驱动程序与其 BFM 之间的虚拟接口???它们实际上是什么,有人可以解释一下吗?

我正在阅读 UVM 食谱,我对监视器、驱动程序及其 BFM 之间的虚拟接口连接感到困惑。这是否意味着可以有多个驱动程序或监视器,或者这是独立的...

回答 2 投票 0

如何识别同步复位(在verilog中)

我是 EDA 新手,我有以下 verilog 代码,我需要清楚地识别同步重置。 模块测试(clk,d,rst,a); 输入时钟,d,rst; 输出寄存器a; 总是@(posege clk) 乙...

回答 2 投票 0

nettype可以用来在System Verilog中定义struct吗?

SystemVerilog语言中可以使用nettype来定义struct吗? 我无法定义所以,有人可以告诉我吗?

回答 1 投票 0

在连续赋值中使用模块参数

我尝试在这个参数化模块上使用generate,但我不断得到错误的输出。 模块constant_gen #( 参数[4:0]轮= 5'b00 ) ( 输出[31:0] con ); ...

回答 1 投票 0

参数化测试类的非过程上下文中包含动态数据的结构

SV/UVM 中的以下代码会产生代码后显示的 VCS 编译错误。 typedef 枚举 int { ABC, 防御, 生长激素指数, 。 。 。 } 枚举_t 类 some_test #(类型 T=uvm_test) 扩展 T; `

回答 2 投票 0

系统verilog UVM:参数化测试类的非过程上下文中包含动态数据的结构

下面的 SV/UVM 代码会产生 VCS 编译错误,如代码后所示。 typedef 枚举 int { ABC, 防御, 生长激素指数, 。 。 。 } 枚举_t 类 some_test #(类型 T=uvm_test) 扩展 T; `

回答 1 投票 0

为 covergroup 生成 ASCII 覆盖文件

我的驱动程序中有一些封面组。我想生成覆盖率结果文件,不是 ucm 类型,而是 ASCII 类型,这样我就可以将其输入到电子表格或 python 中。 我使用 Cadence Xcelium 模拟器。 是...

回答 1 投票 0

SystemVerilog 构造函数返回值

我想做这样的事情,但它会导致错误: MyPacket 类; 函数 MyPacket not_fun_ction(); $display("不好玩"); 返回这个; 结束功能 末级 模块

回答 1 投票 0

模拟器和架构之间的信号门控差异

我有一个模拟,在时钟沿后更改信号并将其连接到 D 触发器。 我预计 D 触发器的输出会在下一个时钟结束时发生变化,但在某些模拟器中它

回答 1 投票 0

防止 Systemverilog 文本替换宏中的参数替换

`定义 CONNECT(i) \ some_mod inst1 (.i(i)); 模块测试(); 逻辑a; `连接(a) 终端模块 在 CONNECT 宏中,如何防止在 some_mod ins 的“.i”部分中将 a 换成 i...

回答 3 投票 0

展台编码不起作用,包括模拟

我正在为数组乘法器编写一个 Booth 编码。这是模块之一: 模块add_input(M,pos,neg,C); 参数n=8; 输入[n-1:0]M; 输入正、负; 输出[2*n-1:0]C; reg [2*n-1:0]C; 我...

回答 1 投票 0

“简单”的开始结束块有什么意义?

我正在阅读一些第三方Verilog,发现了这个: 函数[31:0]阶乘; 输入[3:0]操作数; reg[3:0]索引; 开始 阶乘 = 操作数 ? 1:0; 对于(索引 = 2;

回答 3 投票 0

如何创建一个在不使用全局变量的情况下跨时间驱动输出的任务?

我想在包中编写一些任务,然后将该包导入到使用这些任务的一些文件中。 这些任务之一会切换复位信号。任务是reset_board,代码如下...

回答 1 投票 0

在序列检测器中预期时钟边沿之前输出为高电平

我为“1011”序列检测器编写了 Verilog 代码。但是,在模拟中,当接收到“101”时输出为高电平。例如,在实际时钟之前一个时钟周期为高电平...

回答 2 投票 0

SystemVerilog FSM 枚举状态

我正在尝试在 SV 中实现以下状态图 有了这些输出逻辑 用下面的代码 `时间刻度 1ns / 1ps 模块fsm_example2(输入逻辑clk, 输入逻辑重新...

回答 1 投票 0

在 verilog 代码中序列检测器中的预期时钟沿之前输出为高电平

先生, 我为“1011”序列检测器编写了verilog代码。但在模拟中,当接收到“101”时输出为高电平。 IE。它在实际时钟沿之前的一个时钟周期为高电平。请帮我解决

回答 2 投票 0

幂运算符**可以与任意大的操作数一起使用吗?

幂运算符 ** 可以与任意大的操作数一起使用吗? 例如: reg [100:0] c; reg [15:0] a; reg [15:0] b; c = a**b; 操作数是否有最大限制...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.