verification 相关问题

验证检查产品是否符合规格和更多固定条件。

在 System Verilog 中测量时钟频率的任务(通过参考传递时钟信号)

我是 SV 验证新手,作为面向对象测试平台的第一次尝试,我正在尝试验证一个简单的时钟生成器设计。 我想不断监控多个时钟输出...

回答 2 投票 0

优化嵌套类方法中的输入验证

我有以下示例类: 我的班级: @类方法 def 方法1(cls, 值): print(f'使用值调用的方法1:{value}') cls.method2(值) cls.method3(...

回答 1 投票 0

LinkedIn 身份验证失败,显示“会话无效或已过期”

我试图在笔记本电脑上登录我的 LinkedIn 帐户(我通常使用移动应用程序),当我输入电子邮件和密码时,它重定向到“验证您是人类”页面,然后...

回答 1 投票 0

为255线总线生成随机值

我想验证 255 线总线从源到目的地的连接性(使用 System verilog)。为此,希望在源总线上驱动随机值并确保相应的随机值

回答 1 投票 0

如何以声明方式断言/验证/匹配 Kotlin 数据类结构

假设我在Kotlin中有以下数据结构(根据实际需要进行了简化): 数据类 RootClass( val a:字符串, val 嵌套内容:中级, ) : 结果 数据类 MiddleCl...

回答 1 投票 0

在 dafny 中查找值非零的最高索引

在 Dafny 中,我想找到 int 数组中值为 != 0 的最高索引 该方法要求存在这样的值 我尝试过这个,但不明白它如何不能提供任何保证

回答 1 投票 0

如何在开发者帐户中验证我的身份?

我是一名新手开发者,我创建了自己的应用程序,我想将其上传到 Google Play。我已在 Google Play Console 中注册了开发者帐户。您需要确认您的身份并

回答 1 投票 0

比较两个不同侧面的海量数据

我有2个不同的数据存储,其中存储了相同的数据。我想检查一下它们是否相似。数据类型是 常量对象1 = { “姓名”:“约翰”, &quo...

回答 1 投票 0

如何在flutter中检查有效的AADHAR和PAN号码

如何检查输入的aadhar和pan号码是否有效,有什么办法吗? 如果输入错误的号码应重新输入。 如何检查输入的pan number是否有效,有什么办法...

回答 1 投票 0

Frama-c 希尔排序算法验证

我不明白为什么frama-c不能证明这部分的shell排序算法。我将 Astraver 与 Alt-Ergo 2.4.3 和 CVC v1.8 结合使用,它们将最后一个循环中的条件标记为证明过程...

回答 1 投票 0

有没有一种简单的方法可以绕过Jumio这样的实时验证系统?

有没有一种简单的方法可以绕过像Jumio这样的实时验证系统?几乎所有加密货币交易所以及许多其他不同类型的在线公司都使用这种类型的身份证明。这个系统是

回答 1 投票 0

Linkedin 身份验证

我遇到了一个问题,问题是当我尝试登录我的linkedin帐户时,我输入了电子邮件和密码,然后弹出安全验证页面,然后我通过执行一些验证码来验证它,

回答 1 投票 0

Twilio 尝试从仪表板向阿联酋航空号码发送短信

我无法从 Twilio 向阿拉伯联合酋长国的号码发送任何短信,总是面临以下错误: “测试短信失败。21612。无法使用当前的“收件人&qu...

回答 1 投票 0

有没有更好的方法计算智利RUT验证位数?

对于那些不知道的人来说,智利RUT是居民的ID,类似于USCIS#,由7-8个数字组成,后跟验证数字(通过MOD11检查类型计算)。 我做了...

回答 1 投票 0

系统verilog中非连续GoTo重复运算符和非连续重复有什么区别?

我目前正在阅读 Ashok Mehta 的系统 Verilog 断言教科书。现在我遇到了一个疑问。我无法理解 -> 和 = 运算符之间有什么区别。即,非连续...

回答 2 投票 0

一个奇怪的谓词,无法证明正文中已经定义的断言

假设我们有一个名为 Tree 的数据类型,以及一个计算其大小的函数 size 数据类型树=空|节点(键:int,左:树,右:树) 函数大小(t:树):(s:nat) { 匹配t 案例...

回答 1 投票 0

记分板中的单个分析导入连接到多个分析导出(相同类型)

我想创建可重复使用的记分板,其中许多导出连接到一个分析导入。 计划是连接这样的东西: for(int i=0; i 我想创建可重复使用的记分板,其中许多导出连接到一个分析导入。 计划是连接这样的东西: for(int i=0; i<NUM; i++)begin env.ref_model**[i].ref_model_to_scoreboard_out**.connect(env.scbd.ref_to_scbd_in); end 每个参考模型都属于自己的 UVC,并且也连接了监视器。 分析端口使用相同的类类型进行参数化。 # Scbd 中的 write 函数只有一个定义吗?我在这里好奇的是,一旦写入函数(在 Scbd 中)开始并行调用多个 ref_model_to_scoreboard_out 会发生什么? 到目前为止,我已经连接了所有内容并进行了编译。我仍然无法尝试它是否会起作用。 write 函数中是否有某种机制或通过调用 write 函数来防止例如下一次调用在转换之前不会覆盖当前调用? 也许可以帮助的是信号量(在 Scbd 的写入函数中),但不确定它是否会消耗更多的模拟时间? 只有当连接到记分板的所有监视器/UVC 具有相同的事务时,此机制才可能实现。如果这是真的,那么您可以在交易类中拥有一个标识符(uvc_id),以便记分板可以识别交易的代理并存储在相应的队列/关联数组中。

回答 1 投票 0

简单比较两个值设计输出的测试平台始终为 x

这是应该比较两个浮点数的设计: // IEEE 764:FP[31] = 符号,FP[30:23] = exp,FP[22:0] = 尾数 模块compare_fp(输入[31:0] floatA, ...

回答 2 投票 0

系统 verilog - 简单比较两个值设计输出的测试平台始终为 x

这是应该比较两个浮点数的设计: // IEEE 764:FP[31] = 符号,FP[30:23] = exp,FP[22:0] = 尾数 模块compare_fp(输入[31:0] floatA, ...

回答 1 投票 0

系统 verilog - 简单比较两个值设计输出的测试平台始终“<"

这是应该比较两个浮点数的设计: // IEEE 764:FP[31] = 符号,FP[30:23] = exp,FP[22:0] = 尾数 模块compare_fp(输入[31:0] floatA, ...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.