verilog 相关问题

Verilog是一种用于模拟电子系统的硬件描述语言(HDL)。它最常用于数字逻辑芯片的设计,验证和实现。

uart fifo 需要时钟域交叉和格雷码吗?

如果 UART 模块有单个时钟输入(称为 axi_aclk),则波特率时钟是由 axi_aclk 根据除数寄存器(DLL 和 DLM)生成的。 我们来看看 xmit fifo 的时钟...

回答 1 投票 0

为什么当我没有改变它的值时模拟器会触发一个事件?

我尝试用一个简单的例子来描述我的问题;请参考以下代码: 电线测试_电线; 逻辑测试逻辑; 初始开始 叉 开始 $显示($时间,“...

回答 1 投票 0

为什么即使我没有更改其值,模拟器也会触发?

我尝试用一个简单的例子来描述我的问题;请参考以下代码: 电线测试_电线; 逻辑测试逻辑; 初始开始 叉 开始 $显示($时间,“...

回答 1 投票 0

为什么模拟器会在我没有更改其值的情况下触发

我尝试用一个简单的例子来描述我的问题,请参考以下代码: 电线测试_电线; 逻辑测试逻辑; 初始开始 叉 开始 $显示($时间,“电线

回答 1 投票 0

将 001 B 处的值读取为 000 处的第一个值,实际上是 A?

这是RAM的系统Verilog设计代码,我需要在其中写入数据。 总是@(posege clk或posege rst)开始 如果(首先)开始 // 重置条件 地址_r <= 8'b0; end e...

回答 1 投票 0

我得到的输出是错误的

当我尝试显示 RAM 的输出时,它没有按顺序读取 data_in 输入。 RAM 输出从 B 开始,如 000 地址,但我尝试在 000 地址上写入 A,依此类推...

回答 1 投票 0

如何在quartus中初始化具有不同内容的多个实例的ram

我设计了一个RAM模块,我需要这个模块的多个实例,每个实例都有不同的内存初始化文件。 Quartus手册说Quartus支持$readmemh()函数

回答 3 投票 0

如何为功能覆盖交叉创建ignore_bins以排除覆盖点落在外部数组列表中的任何bin?

假设我有一个枚举类型的未打包数组: client_e read_only_clients[] = {客户端1,客户端2}; 还有这个封面组: covergroup cg 与函数示例 ( client_e client, dir_e dir ); cli...

回答 1 投票 0

在 SystemVerilog 中读取 CSV 文件时如何跳过该文件的第一行?

我正在尝试读取 SystemVerilog 中的 CSV 文件并解析它们并将值分配给关联数组。我想在阅读时跳过 CSV 文件的第一行。我正在使用以下...

回答 1 投票 0

如何在 System Verilog 中读取 CSV 文件时跳过第一行

我正在尝试在 System Verilog 中读取 csv 文件并解析它们并将值分配给关联数组。我想在阅读时跳过 csv 文件的第一行。我正在使用以下...

回答 1 投票 0

如何在verilog中编写有符号数?

我想编写一个verilog代码,输出2个8位2的补码有符号整数的和。另一个二进制输出信号称为 E,当 ...

回答 1 投票 0

fork join_any 中的语句与其后面的语句同时执行时是否保证执行顺序

模块测试(); reg a、b、c、d; 初始开始 叉 #5 $display("分叉时间为 %0t",$time); #10 $display("分叉时间为 %0t",$time); #15 $display("对于...

回答 1 投票 0

我在verilog中的错误不是有效的左值

这是Verilog代码,我有这个错误(o不是有效的左值)。有人可以帮助我吗? 模块 ts ; reg[3:0] in1,in2; 线[3:0] o; 整数 i,j; Sommatore4Bit s(.in1(in1...

回答 1 投票 0

verilog HDL 时钟

设计一个显示分、秒的定时器电路 HEX3、HEX2、HEX1、HEX0由DE10 FPGA板上的KEYS控制,使用Verilog HDL语言。 在那里: KEY0用于恢复...

回答 1 投票 0

如何将无符号数转换为有符号数,并在verilog中反转

我的大学有一项使用 verilog 的学校作业,我需要为 RISC-V 处理器创建 ALU。在 ALU 中,我需要执行 AND、OR、XOR、sub、add、按位左移和 r 运算...

回答 1 投票 0

modelsim wave 为空且 msg 显示“xxx”

我正在 Modelsim 上运行 verilog 文件,但是波形区域是空的并显示“xxxxxx”消息。一般来说,我对 Verilog/Modelsim/Quartus 非常陌生,任何帮助都会非常有用

回答 1 投票 0

EPWave 上的 4 位计数器

我在Verilog中做了一个4位计数器模块,我需要在EPWave上表示以下步骤: 时钟每 1 秒切换一次 计数器工作 5 秒,然后复位,然后

回答 1 投票 0

为什么 Verilog 会反转 4 位变量的第一位?

我正在尝试用verilog编写一些代码,但由于某种原因,当我打印出来时,我输入的值发生了变化 这是我的代码 模块测试; reg [3:0] A; reg [3:0] B; 最初的 开始 $监视器(&...

回答 1 投票 0

使用串联的基于索引的数组右移;错误:前缀中不允许有范围

我正在尝试实现一个数组右移器。 它接受一个整数数组,然后将所有内容右移到指定索引位置的右侧,并在

回答 2 投票 0

verilog 中行为流和数据流之间的区别

我进行了搜索以了解verilog中的行为代码和数据流代码之间的区别。最后我找不到很好的例子,到处都在讲述他们所做的事情。 例如...

回答 2 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.