verilog 相关问题

Verilog是一种用于模拟电子系统的硬件描述语言(HDL)。它最常用于数字逻辑芯片的设计,验证和实现。

解释为什么当 #10 begin A = 1'b0; 时 fork-join 的行为不同B = 1'b1;结束,并到#10;开始 A = 1'b0; B = 1'b1;结束

请注意第二种情况下#10延迟后的分号。 我以为我理解了 fork-join,但是在这些输出之后,我认为我不理解。有人可以解释一下为什么分号是原因......

回答 1 投票 0

解释为什么当 #10 begin A = 1'b0; 时 fork-join 的行为不同B = 1'b1;结束,并到#10;开始 A = 1'b0; B = 1'b1;结束

请注意第二种情况下#10延迟后的分号。 我以为我理解了 fork-join,但是在这些输出之后,我认为我不理解。有人可以解释一下为什么分号是原因......

回答 1 投票 0

Verilog 行为模型中的“->”是什么?

我正在编写一个i2c主模块,并且我有Microchip提供的AT24C02D的Verilog模型用于测试平台。我在代码中看到一些“->”;这意味着什么? 参考: https://...

回答 1 投票 0

Verilog 行为模型中的“->”是什么

我正在编写一个i2c主模块,并且我有Microchip提供的AT24C02D的verilog模型用于测试平台,我在代码中看到一些“->”,这是什么意思? 参考:https://ww1.

回答 1 投票 0

代码中的错误我无法解决它并且我无法阻止该错误

我遇到混合端口连接问题 有谁能够帮助我 我试图将以前的模块实例化到新模块中 .data_out(level1_1_out)、.data_in(data_in1)、.Cx(Cx1)、.clk(clk)...

回答 1 投票 0

数组右移错误:前缀中不允许范围

我正在尝试实现一个数组右移器。 它接受一个整数数组,然后将所有内容右移到指定索引位置的右侧,并在

回答 1 投票 0

前缀中不允许使用范围 - systemverilog、Vivado

我正在尝试实现一个数组右移器。 它接受一个整数数组,然后将所有内容右移到指定索引位置的右侧,并在

回答 1 投票 0

(系统)Verilog 默认情况下不应该发生的 case 语句中的合适断言

在 SystemVerilog case 语句的默认情况下,什么样的断言适合? 我有兴趣知道什么在模拟平台上效果最好或最好,什么具有最广泛的容忍度......

回答 1 投票 0

如何修复此 foreach 循环变量语法错误?

我正在尝试在SystemVerilog中使用foreach。我在这里做错了什么? `定义大小 3:0 //变量 模块 tb; reg [7:0] 温度; 初始开始 温度=8'd25; $display("%d",temp[...

回答 1 投票 0

如何修复此 foreach 循环变量语法错误?

我正在尝试在SystemVerilog中使用foreach。我在这里做错了什么? `定义大小 3:0 //变量 模块 tb; reg [7:0] 温度; 初始开始 温度=8'd25; $display("%d",temp[...

回答 1 投票 0

状态机代码获得意外输出

模块mealy(输入x_in,rst_n,clk,输出reg y_out); 参数 s0 = 2'b00, s1 = 2'b01 , s2 = 2'b10; reg [1:0] p_state,n_state; 总是@(posege clk,negedge rst_n)开始 if(!rst_n) p_state <...

回答 1 投票 0

4x4 乘法器的输出错误

模块 fa( 输入a,b,cin, 输出 reg s,cout ); 总是@(*)开始 s = a^b^cin; 输出 = (a & b) | (b & cin) | (cin & a); 结尾 终端模块 模块乘法器( 输入[3:0] a,b, 输出...

回答 1 投票 0

尝试使用 Verilog 设计移位加法器,但我无法修复此错误

我正在使用 Verilog 实现 4 位移位加法器,并且遇到以下代码的问题: //移位寄存器存储要相加的两个输入a和b 模块移位(y,d,clk); 输入...

回答 1 投票 0

4位寄存器始终显示输出0

模块寄存器(输入[7:0] inp,输入load,clk,clr,输出reg [7:0] out); 总是@(posege clk或posege clr)开始 如果(清除) 出<= 8'b00000000; else if(load) out<= ...

回答 1 投票 0

$fscanf 函数无法与 CSV 字符串输入正常工作

我正在尝试使用 $fscanf 函数读取 SystemVerilog 中的 CSV 文件。 这是csv文件的格式 REG_1,0xab4556 REG_2,0x124d 等等... 我必须扫描这些值并分配它们...

回答 1 投票 0

扫描功能在系统verilog中无法正常工作

我正在尝试使用 fscanf 函数读取系统 verilog 中的 csv 文件。 这是csv文件的格式 REG_1,0xab4556 REG_2,0x124d 等等... 我必须扫描这些值并将它们分配给

回答 1 投票 0

always_comb 构造并不推断纯粹的组合逻辑

我有这个 FSM,可以控制我正在构建的游戏中的不同事件(在 Quartus Prime Lite Edition 17.0 中)。 当我尝试编译它时,出现以下错误: 错误(10166):SystemVerilog RTL 编码错误...

回答 1 投票 0

为什么这会模拟延迟为 2 的连续分配,就像延迟为 3 一样

我有这个系统verilog代码,它为一些带有延迟的简单操作和一个带有时钟的简单测试平台进行连续分配。 `时间尺度1ns/1ps 模块延迟(输入逻辑a、b); 我...

回答 1 投票 0

为255线总线生成随机值

我想验证 255 线总线从源到目的地的连接性(使用 System verilog)。为此,希望在源总线上驱动随机值并确保相应的随机值

回答 1 投票 0

Vivado 中的综合错误:[Synth 8-3380] 循环条件在 2000 次迭代后未收敛

模块 Delay_Module ( 输入线时钟, 输入线[3:0] data_in, 输入线[7:0]delay_cycles, 输出寄存器 [3:0] 输出数据 ); reg[4:0]计数器=0; reg[3:0]内存[0:47]; 整数...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.