verilog 相关问题

Verilog是一种用于模拟电子系统的硬件描述语言(HDL)。它最常用于数字逻辑芯片的设计,验证和实现。

在 System Verilog 中声明可变宽度的数组

我知道数组宽度是在编译时分配的,但我有一个场景,我需要根据约束设置数组宽度。设置可变数组宽度的替代方法有哪些?在下面

回答 1 投票 0

Verilog FSM 模型显示错误的输出

我一直在尝试适合以下情况的FSM模型的Verilog代码,但是当我使用ModelSim进行测试时,输出(计数)无法显示我需要的正确输出。 ...

回答 1 投票 0

FSM 模型上的 Verilog 代码

我一直在尝试适合以下情况的fsm模型的verilog代码,但是当我使用ModelSim进行测试时,输出(计数)无法显示我需要的正确输出 T...

回答 1 投票 0

HDLBits DFF 和门

我试图从电路/顺序逻辑/锁存器和触发器中解决HDLBits的问题:DFF和门(https://hdlbits.01xz.net/wiki/Exams/ece241_2014_q4)。 我在网上找到了解决方案(http...

回答 1 投票 0

在 Verilog 中应用模数

模块 mod_dp_32bit ( 输入线时钟, 输入线第一, 输入线开始, 输入线[31:0]A, 输入线[31:0] B, 输出线完成, 输出线[31:0]结果 ); 注册 [31...

回答 1 投票 0

verilog 中的断言

在我的 Verilog 项目中,信号“CsrPlugin_exceptionPortCtrl_exceptionContext_code”在正常情况下通常设置为 4'bxxxx,并在

回答 1 投票 0

使用流运算符连接动态数组的所有元素

我想连接动态数组的所有元素,并将整个数组左移 8 位。我尝试了下面的代码,它有效。有没有更好的方法来移动整个阵列而不需要...

回答 1 投票 0

System Verilog 使用流运算符连接动态数组的所有元素

我想连接动态数组的所有元素,并将整个数组左移 8 位。下面的代码适用于固定且已知的数组大小。如何与流连接

回答 1 投票 0

Modelsim 在成绩单中显示未知或垃圾数字

我正在尝试编写一个测试平台来使用 $display() 语句测试我的处理器。 但不知道为什么会有意想不到的,成绩单上印着意想不到的或者垃圾的数字。 这...

回答 1 投票 0

Verilog - 模拟时错误:“未解析的引用”

使用 ModelSim。我正在尝试模拟一个可上下两位计数器。它编译得很好,但是当我尝试运行模拟时,出现以下错误: ** 错误:(vsim-3043) D:/ModelSim/examples/L...

回答 1 投票 0

Verilog/SystemVerilog:“常量”函数被认为是非常量

我有一个模块,它有一个端口,其宽度应取决于函数的值: (语法是 Verilog/Systemverilog 混合,因为我使用 yosys 进行综合,仅支持有限的数量...

回答 1 投票 0

uart fifo 需要时钟域交叉和格雷码吗?

如果 UART 模块有单个时钟输入(称为 axi_aclk),则波特率时钟是由 axi_aclk 根据除数寄存器(DLL 和 DLM)生成的。 我们来看看 xmit fifo 的时钟...

回答 1 投票 0

为什么当我没有改变它的值时模拟器会触发一个事件?

我尝试用一个简单的例子来描述我的问题;请参考以下代码: 电线测试_电线; 逻辑测试逻辑; 初始开始 叉 开始 $显示($时间,“...

回答 1 投票 0

为什么即使我没有更改其值,模拟器也会触发?

我尝试用一个简单的例子来描述我的问题;请参考以下代码: 电线测试_电线; 逻辑测试逻辑; 初始开始 叉 开始 $显示($时间,“...

回答 1 投票 0

为什么模拟器会在我没有更改其值的情况下触发

我尝试用一个简单的例子来描述我的问题,请参考以下代码: 电线测试_电线; 逻辑测试逻辑; 初始开始 叉 开始 $显示($时间,“电线

回答 1 投票 0

将 001 B 处的值读取为 000 处的第一个值,实际上是 A?

这是RAM的系统Verilog设计代码,我需要在其中写入数据。 总是@(posege clk或posege rst)开始 如果(首先)开始 // 重置条件 地址_r <= 8'b0; end e...

回答 1 投票 0

我得到的输出是错误的

当我尝试显示 RAM 的输出时,它没有按顺序读取 data_in 输入。 RAM 输出从 B 开始,如 000 地址,但我尝试在 000 地址上写入 A,依此类推...

回答 1 投票 0

如何在quartus中初始化具有不同内容的多个实例的ram

我设计了一个RAM模块,我需要这个模块的多个实例,每个实例都有不同的内存初始化文件。 Quartus手册说Quartus支持$readmemh()函数

回答 3 投票 0

如何为功能覆盖交叉创建ignore_bins以排除覆盖点落在外部数组列表中的任何bin?

假设我有一个枚举类型的未打包数组: client_e read_only_clients[] = {客户端1,客户端2}; 还有这个封面组: covergroup cg 与函数示例 ( client_e client, dir_e dir ); cli...

回答 1 投票 0

在 SystemVerilog 中读取 CSV 文件时如何跳过该文件的第一行?

我正在尝试读取 SystemVerilog 中的 CSV 文件并解析它们并将值分配给关联数组。我想在阅读时跳过 CSV 文件的第一行。我正在使用以下...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.