verilog 相关问题

Verilog是一种用于模拟电子系统的硬件描述语言(HDL)。它最常用于数字逻辑芯片的设计,验证和实现。

如何在 System Verilog 中读取 CSV 文件时跳过第一行

我正在尝试在 System Verilog 中读取 csv 文件并解析它们并将值分配给关联数组。我想在阅读时跳过 csv 文件的第一行。我正在使用以下...

回答 1 投票 0

如何在verilog中编写有符号数?

我想编写一个verilog代码,输出2个8位2的补码有符号整数的和。另一个二进制输出信号称为 E,当 ...

回答 1 投票 0

fork join_any 中的语句与其后面的语句同时执行时是否保证执行顺序

模块测试(); reg a、b、c、d; 初始开始 叉 #5 $display("分叉时间为 %0t",$time); #10 $display("分叉时间为 %0t",$time); #15 $display("对于...

回答 1 投票 0

我在verilog中的错误不是有效的左值

这是Verilog代码,我有这个错误(o不是有效的左值)。有人可以帮助我吗? 模块 ts ; reg[3:0] in1,in2; 线[3:0] o; 整数 i,j; Sommatore4Bit s(.in1(in1...

回答 1 投票 0

verilog HDL 时钟

设计一个显示分、秒的定时器电路 HEX3、HEX2、HEX1、HEX0由DE10 FPGA板上的KEYS控制,使用Verilog HDL语言。 在那里: KEY0用于恢复...

回答 1 投票 0

如何将无符号数转换为有符号数,并在verilog中反转

我的大学有一项使用 verilog 的学校作业,我需要为 RISC-V 处理器创建 ALU。在 ALU 中,我需要执行 AND、OR、XOR、sub、add、按位左移和 r 运算...

回答 1 投票 0

modelsim wave 为空且 msg 显示“xxx”

我正在 Modelsim 上运行 verilog 文件,但是波形区域是空的并显示“xxxxxx”消息。一般来说,我对 Verilog/Modelsim/Quartus 非常陌生,任何帮助都会非常有用

回答 1 投票 0

EPWave 上的 4 位计数器

我在Verilog中做了一个4位计数器模块,我需要在EPWave上表示以下步骤: 时钟每 1 秒切换一次 计数器工作 5 秒,然后复位,然后

回答 1 投票 0

为什么 Verilog 会反转 4 位变量的第一位?

我正在尝试用verilog编写一些代码,但由于某种原因,当我打印出来时,我输入的值发生了变化 这是我的代码 模块测试; reg [3:0] A; reg [3:0] B; 最初的 开始 $监视器(&...

回答 1 投票 0

使用串联的基于索引的数组右移;错误:前缀中不允许有范围

我正在尝试实现一个数组右移器。 它接受一个整数数组,然后将所有内容右移到指定索引位置的右侧,并在

回答 2 投票 0

verilog 中行为流和数据流之间的区别

我进行了搜索以了解verilog中的行为代码和数据流代码之间的区别。最后我找不到很好的例子,到处都在讲述他们所做的事情。 例如...

回答 2 投票 0

错误:“赋值语句左值中的语法。”尝试在always块中分配reg时

我正在尝试对电路进行建模。这是我正在尝试构建的电路的代码。我在always块内,特别是在cases内收到错误。我正在尝试将 reg NextState 分配给

回答 1 投票 0

赋值错误:“无法分配给数组”

我对 Verilog 相当陌生,我正在努力熟悉它。 我正在 Verilog 中构建 ALU,当我尝试将操作中的值分配给 ALU_result reg 时,我收到列出的错误...

回答 1 投票 0

如何将 5 位数字从纹波进位加法器/减法器输出到 5 位解码器以解决 Verilog 中的溢出问题?

我正在开发一个项目,该项目将采用 0-9 之间的两个 4 位数字并对它们进行加/减操作以显示在七段显示器中。这是我正在尝试创建的内容的总体想法 我的...

回答 1 投票 0

vivado 综合过程中“case item is unreachable”

`时间尺度1ns/1ps 模块液晶控制( 输入时钟, 输入复位, 输入产品1, 输入产品2, 输入产品3, 输入产品4, 输入disp_up, 输入disp_down, 输入配置...

回答 1 投票 0

我想检测包络形式的峰值,但此代码无法正常工作。有什么问题吗?

这段峰值检测代码有什么问题?我希望每个峰值都有一个触发器。该块的输入是包络形式信号。 模块峰值( 输入时钟, 输入

回答 1 投票 0

非法赋值:无法将未打包类型分配给打包类型

在 SystemVerilog 中我写道: 模块 mult32x32_arith ( 输入逻辑 clk, // 时钟 输入逻辑复位,//复位 输出逻辑 [63:0] 乘积 // 乘法乘积 ); ...

回答 2 投票 0

我们如何用定点和浮点二进制表示法来表示-0.67?

我想以适当的8位Qn.m格式编写这个(-0.67) 我怎样才能做到这一点? 通常我们有-1.67 可以表示为 整数部分 2 位,小数部分 4 位 乙...

回答 1 投票 0

错误:无法由原语或连续赋值驱动

我正在尝试在 Verilog 中创建状态机,但收到错误: 错误:reg状态;不能由原语或连续赋值驱动。 错误:mealy_machine 的端口 6(状态)为

回答 1 投票 0

Verilog 错误:无法由原语或连续赋值驱动

我正在尝试在 Verilog 中创建状态机,但收到错误: 错误:reg状态;不能由原语或连续赋值驱动。 错误:mealy_machine 的端口 6(状态)为

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.