vhdl 相关问题

VHDL(VHSIC硬件描述语言)是一种用于电子设计的语言,用于描述FPGA(现场可编程门阵列)和IC(集成电路)等数字系统。

在VHDL中,对无符号结果进行切片时出现错误

我无法让这行代码工作: 结果<= (a * b)(31 downto 16); the compiler (ghdl) suggests there's a missing ';' after the first ')' a, b and result are unsigned 16 bit. any suggestions?

回答 1 投票 0

如何获得两个时间值之间的真实类型比率?

在VHDL中有两个时间值,例如: 常量 t_1 : 时间 := 1 us; 常量 t_2 :时间 := 300 ms; 如何计算以实型表示的两个时间值之间的比率? 该...

回答 2 投票 0

Vivado 错误:[DRC MDRV-1] 多个驱动程序网络

我在 Vivado 上遇到错误。我正在尝试运行实现来对我的 Basys 板进行编程,但遇到以下错误: [DRC MDRV-1] 多个驱动程序网络:Net ScrlFSM/RLC2B/DER1/

回答 1 投票 0

未定义的 ALU 结果值 (VHDL)

我正在研究这个 VHDL 16 位 MIPS 处理器,我遇到了执行单元的一些问题,更具体地说是 ALU 结果。我已经为 ALU 单独创建了一个测试台(其中...

回答 1 投票 0

嵌入式配置可以用于生成中的实例吗?

在 VHDL 架构声明区域中,我有时会使用嵌入式配置,例如 for and_gate_inst : and_gate 使用实体 work.and_gate(rtl);但我不知道这个嵌入式怎么写

回答 1 投票 0

VHDL内部信号分配不起作用

我是 VHDL 编程的新手,我在使用此 VHDL 代码(同步计数器)时遇到问题。变量 Q_AUX_4 从未分配给输出 Q_OUT,因为当我尝试运行模拟时,使用

回答 1 投票 0

用 std_logic_vector 填充零会导致实现错误

我在使用 Vivado 时遇到一个问题,即我的 VHDL 代码中的零填充未保留在详细设计中,并导致实现错误。以下是问题的简要概述: 我

回答 1 投票 0

从 Kintex 7 KC705 FPGA BOARD 的 LCD 引脚提取 3 个 TTL 信号

我正在使用 Kintex7 kc705 FPGA 板生成 3 个 TTLS 信号(位)以控制 RF 开关。接下来我想从 LCD 引脚(FPGA 板上的 J31)提取这三个信号,这继续...

回答 1 投票 0

无法将计数器信号分配给输出(FSM)

我正在为正交编码器计数器开发 FSM,将在 Arty A7 35 上使用 --- 这是我的第一个 VHDL 项目,所以如果我遗漏了一些非常基本的东西,我深表歉意。我有一个内部计数

回答 1 投票 0

整数环绕中的 Modelsim 错误标志 - 无法更改为 vhdl 代码

我有一个旧的 VHDL 代码,我需要使用 Modelsim 运行模拟。该代码“包括”扭曲条件,这在实际硬件中没有影响,但在模拟中失败......

回答 1 投票 0

如何在VHDL中添加“for”循环

我试图让这个“for”循环工作,但我遇到了同样的错误:“非法并发语句”。 IEEE 图书馆; 使用 IEEE.std_logic_1164.all; 使用 IEEE.numeric_std.all; 我们...

回答 1 投票 0

需要澄清涉及 std_logic_vector、无符号和文字的 VHDL 表达式,不确定编译器解释

我不明白以下表达式中的2s - 1和1 - 2s运算是如何执行的: <= (s & '0') - 1; L <= 1-(s & '0'); Considering the fact that R and...

回答 1 投票 0

vhdl中的uart发送字符串

我正在学习vhdl和fpga,我有一个digilent板Nexys 4。我正在尝试通过UART发送一个字符串。每次单击板上的按钮时,我都成功发送了一个字符。 现在我...

回答 1 投票 0

在类型泛型中使用记录

我正在努力使用泛型来定义组件的接口(端口)的记录。在一个较旧的问题中,我被指出使用类型泛型。但是我不知道如何访问该文件...

回答 2 投票 0

将modelsim intel starter VHDL版本设置为2008

我正在尝试使用 VHDL 2008 中的一些东西(当然,可以用 2002 年完成,但 2008 年要干净得多)。如果我在命令行中编译文件并手动设置版本,它就会成功,...

回答 2 投票 0

VHDL 中的二进制编码十进制计数器

我已经用VHDL编写了这个BCD计数器,但是第10位计数器对每个时钟周期进行计数,而不是一次,所以输出不是从09到10,而是09,19,29,39,...直到bcd1_溢出...

回答 1 投票 0

我的VHDL ALU代码无法输出加法结果,但输出减法结果就好?

我第一次编写测试平台,但它不起作用。它适用于仅具有加法和减法的简单 4 位 ALU,处理无符号数,并且上溢/下溢应该通过...

回答 1 投票 0

使用VHDL模拟寄存器和增量器

我设计了一个由寄存器和增量器组成的电路。每一个都应该为另一个提供输入,导致 PC 在时钟的每个上升沿递增 4。该...

回答 1 投票 0

在 VHDL 中添加 1 个 4 位和 1 个 3 位输入

我正在尝试用 VHDL 设计一个具有 4 位和 3 位无符号输入的 ALU。但是我调整输入大小的尝试失败了,因此加法操作将“X”作为输出。 这是我的...

回答 1 投票 0

4 输入与非门使用 2 输入与非

我正在尝试仅使用 2 个输入 nand 创建一个 4 输入 nand,而这个并没有给我正确的模拟。 实体 NAND4_YourName 是 端口(A、B、C、D:在 STD_LOGIC 中; Y:出STD_LO...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.