vhdl 相关问题

VHDL(VHSIC硬件描述语言)是一种用于电子设计的语言,用于描述FPGA(现场可编程门阵列)和IC(集成电路)等数字系统。

是否可以在Modelsim中接收和计算时间?

是否可以在Modelsim中接收和计算时间?例如,我想复位一个传感器。传感器复位需要一个逻辑 "1 "在60μs内,所以我的代码发送它。我需要捕捉信号,在...

回答 1 投票 0

VHDL信号不改值

我目前正在尝试实现一个有5个不同状态的状态机。基本上,我想停留在一个状态,等待一段时间,继续进入下一个状态,等待不同的时间, ...

回答 1 投票 0

我不明白这段代码在vhdl中是如何工作的?

testbench的架构行为是信号a,b : integer := 0; BEGIN p1:process begin a<= b +1 after 3 ns ; wait on a; end process; p2:process begin b<= ...

回答 1 投票 0

在VHDL中实现反转计数器。

我是VHDL新手,我想实现一个计数器,从0开始向上计数到最大值,然后一旦达到最大值就自动开始向下计数。这是我的实体和...

回答 1 投票 0

在我的vhdl代码中获得正确的信号值的问题

我正在做我的毕业论文,我是用VHDL写的,我的代码如下图所示,我给两个信号(counting和get_lbp_from_blks)同时赋值。在我的代码中,如下图所示,我同时给两个信号(counting和get_lbp_from_blks)赋值。然而,在我的 ...

回答 1 投票 0

VHDL:如何在使用组件前暂停?

我还是VHDL的新手,我需要在调用一个组件之前暂停我的代码。是否可以这样做?如果可以,怎么做?我感觉我做的方式不对。这是我的最小代码:...

回答 1 投票 0

VHDL中的数据类型

我想在VHDL中实现一个滤波器。所有的输入向量和输出向量都是有符号的16位(1.15格式,第一个位是符号位)。我计划将所有的信号变量声明为STD_LOGIC ...

回答 1 投票 0

同步寄存器设计VHDL

如何使这个寄存器同步设计?LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; ENTITY register1 IS PORT ( d_in : IN std_logic_vector(7 DOWNTO 0); ...

回答 1 投票 0

VHDL检查字符串是否为空

不敢相信,我居然为此问了一个新的SO问题。我有一个VHDL实体是这样的:实体dpram is generic( DWIDTH : integer; AWIDTH : integer; INIT_FILE : string ...。

回答 1 投票 1

VHDL中的变量使用

我在VHDL中看一些代码,看到这个例子:信号count : 整数范围0到宽度; begin process(clk, rst) 变量temp : 整数范围0到宽度; begin temp := ...

回答 1 投票 0

VHDL with-select错误,期待"(",或一个标识符或一元操作符[重复]。

我正在用VHDL写一个2位4输入的多路复用器 完全基于一个真值表。我正在使用with-select语句Code . 然而,我得到以下错误信息。最后4位的错误信息...

回答 1 投票 0

simple_force_assignment

我正在做一份过去的试卷准备考试,其中一道题显示了这个波形。现在我当然知道你可以把代码一行一行地写出来 像这样: sig1 <='1'; ...

回答 1 投票 0

可重复使用的方式将双向记录分配给另一个人。

我使用的是UVVM AXI-Stream VVC。它把AxiStream接口定义为一个记录类型,为了简洁起见,在本题中缩短了。所以,给定这个记录类型,它包含了要进入的两个信号...。

回答 1 投票 0

xilinx vivado:从tcl中读取组件.xml文件到项目中。

假设我的一个vivado项目设置如下: 创建一个区块设计实例化一些IP核,然后把它们连在一起 导出区块设计中的IO,点击区块...

回答 1 投票 0

在同一个应用程序中,inout端口可以作为in和out工作吗?

我想写一个包含inout port的vhdl代码,但我有一个疑问,就是inout port在同一个程序中是否可以同时作为输入和输出,就像我知道使用inout pin的原因是为了让它 ...

回答 1 投票 0

vhdl中是否有一个函数可以检查端口是连接还是打开?

在VHDL中,允许将一个组件的输出端口打开。是否有一个函数可以从组件内部检测到这一点,从而可以生成其他硬件?我不想等待......

回答 1 投票 0

Quartus RTL查看器参数与VHDL代码不同步。错误(10344) VHDL

我是参照 "https:/github.comeigenpiFace-Detection-on-FPGA "的项目做的。我打算把OV7670摄像头换成Terasic-D5M摄像头。我尝试改变输入参数...

回答 1 投票 0

在VHDL中实现以太网MDIOSMI接口

我正在做LXT972M PHY收发器与LPC2368芯片的连接。我已经做了RMII到MII的转换器,但我不知道如何在VHDL中实现那个双向MDIO信号。由于我...

回答 1 投票 0

移植映射到多个实体的最佳方法

如果某个实体需要在另一个 "顶层 "实体中被多次引用,那么最好的方法是什么?例如,给定某个实体My_Entity(此处为简化描述):......。

回答 1 投票 0

将RGB图像转换为灰度的VHDL代码 [关闭]

我需要用Vivado编写一段VHDL代码,将RGB图像转换成灰度图像。我真的不知道该从哪里下手,谁能帮帮我?先谢谢你了!

回答 0 投票 -4

© www.soinside.com 2019 - 2024. All rights reserved.