vhdl 相关问题

VHDL(VHSIC硬件描述语言)是一种用于电子设计的语言,用于描述FPGA(现场可编程门阵列)和IC(集成电路)等数字系统。

VHDL - 行为正常,Post Route有问题

我是StackOverflow的新手,我很抱歉最终出现错误。我正在使用VHDL,我遇到了Post-Place&Route的问题。虽然行为正常,但Post-Place&Route有......

回答 1 投票 0

结构环振荡器VHDL

我遇到以下环形振荡器代码的问题:实体OSCILLATOR是端口(OUTPUT:out std_logic);最终实体OSCILLATOR; OSCILLATOR的架构结构是组件......

回答 1 投票 1

在VHDL中为模块创建“Init”和“End”SIGNAL

图书馆IEEE;使用IEEE.STD_LOGIC_1164.ALL;使用IEEE.NUMERIC_STD.ALL; entity struture_test是Port(clk:在STD_LOGIC中; rst:在STD_LOGIC中; Init:在...中

回答 1 投票 0

这个“vector(vector'HIGH)='1'”的含义是什么?

我知道'HIGH它是一个数据属性,它返回上面的数组索引,但是向量外面的括号我得不到它。它和vector'HIGH一样?告诉我,如果有人需要更多信息或......

回答 3 投票 0

在VHDL中更改状态机状态的正确方法

我正在开发一个FPGA项目,我需要从图像传感器读取数据。这个传感器有不同的图像模式(如测试图案,框架,分档等),为了改变图像模式,我需要......

回答 1 投票 0

串行输出加法器

我对组件的顺序逻辑感到困惑(我是新的)。我有这些组件,但我很困惑如何在一个过程中使用它们。我需要帮助理解顺序逻辑如何工作......

回答 1 投票 0

VHDL从RAM中读取并存储在数组延迟中?

我目前正在用VHDL做一个项目,因为我不是专家,所以我遇到了一些问题。我会尽力澄清一切。所以让我们分成几部分。我想做的是写一些......

回答 1 投票 0

包的VHDL配置

我有两个包(pkg1和pkg2),其中一个包含一组常量。根据配置,我想使用pkg1或pkg2,但不能同时使用两者。那么,我怎样才能在VHDL中做到这一点? ...

回答 2 投票 0

当我为测试项目创建Slack直方图时,为什么会得到“空结果”?我该如何解决?

我目前在vivado 2018.3.1工作,我必须为项目进行静态时序分析。为了保持我的进度保存,我创建了一个基本的Test_project来进行实验。我是一个 ...

回答 1 投票 0

VHDL textio,从文件中读取图像

我正在尝试学习如何在FPGA中实现图像处理算法,并且我正在使用包含bmp图像的txt文件(使用MATLAB转换)。我有使用...的问题

回答 3 投票 0

循环线和线的位置

我希望有一个循环来运行我的代码的所有行,并且还运行所有行的每个位置。我的问题是选择循环运行的行,我希望有简单的方法...

回答 1 投票 0

vhdl:object子类型不是本地静态的

使用GHDL编译一些VHDL得到一个奇怪的错误。 VHDL的模拟编译器在线失败:“case i_cli_adr is”,错误消息:“vhdl:object subtype not local static”。怎么解决? ...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.