vhdl 相关问题

VHDL(VHSIC硬件描述语言)是一种用于电子设计的语言,用于描述FPGA(现场可编程门阵列)和IC(集成电路)等数字系统。

如何使用FPGA中的组件

我想在 if 情况下(在和门情况下)使用端口映射,但我无法使用它。问题是什么?我对 FPGA 编码非常陌生。你能帮我解决代码方面的问题吗?因为当我使用...

回答 1 投票 0

包中VHDL外部名称列表

我正在尝试编写一个 VHDL 包来创建外部名称列表,以便在我的测试台中使用它们。我不确定是否可以在包中声明外部名称,但编译器不会

回答 3 投票 0

转换函数“To_bit”必须只有一个形参

我在 VHDL 测试用例上运行 modelsim 时遇到上述错误,我无法理解为什么会出现错误。 测试用例: IEEE 图书馆; 使用 ieee.std_logic_1164.all; 实体a是 港口(我...

回答 2 投票 0

如何在vhdl中模拟有限状态机

我正在尝试在VHDL中创建一个FSM,它应该充当启动引擎的控制。当按正确顺序按下按钮 A 和 B 时(A-B-B,U1=1),发动机应启动。当按下

回答 1 投票 0

integer_vector 作为 NULL 数组

如何传递长度为零的空整数向量? (= 空数组) 背景: 在测试平台内,我想对不同类型的事务使用一个过程。像这样的东西:

回答 1 投票 0

错误 (10454):Lab09_1.vhd(55) 处的 VHDL 语法错误:范围的右边界必须是常量

我有一个 VHDL 学校项目,正在制作仅包含 if、id、ex 和 wb 的管道。我的想法是输入范围索引中的每个输入指令并进行循环。但我不断...

回答 1 投票 0

相比之下,std_logic 的行为并不关心

我对 std_logic 类型的不关心('-')值的行为感到困惑。 当将 std_logic_vector 信号与具有一个或多个位设置为无关的 std_logic_vector 常量进行比较时...

回答 1 投票 0

运算符和 VHDL

我不确定这个运算符是如何工作的。 例如,如果我有: 信号向量_a:std_logic_vector(4 downto 0) :=(others => '0'); 矢量_a <= vector_a(3 downto 0) & '1'; Would the resu...

回答 1 投票 0

prbs_verify_tb.vhd(45):靠近“进程”:(vcom-1576) 期待开始

我对 VHDL 编码比较陌生,目前在编译过程中遇到问题。我将非常感谢任何帮助解决此错误。该测试平台是涉及 pse 的项目的一部分...

回答 1 投票 0

VHDL工艺上升沿

我正在尝试实现一个系统来创建其他系统所需的多个时钟,但我的过程无法正常工作。 看起来它没有找到时钟的上升沿,因为计数器

回答 1 投票 0

时钟向导 Vivado VHDL

我正在 Vivado 上使用 FPGA 做一个项目。 我的 FPGA 有 100MHz 时钟,但我的项目需要 22.57 MHz 时钟,因此我使用了时钟向导。 我的问题是,由于 22.5 Mhz 时钟是一个 ou...

回答 1 投票 0

我的程序是生成计算4点fft的代码

我把代码写成了三部分。第一部分包含加法、减法和求反等函数。第二部分是蝶形结构。第三部分是fft4。 我发现

回答 2 投票 0

如何从 FPGA 获取 .bit 文件?

我有一个 FPGA 开发板,其中包含 Xilinx 的 Kintex-7,并加载了制造商的固件。为了进行实验,我想上传我自己的固件版本...

回答 1 投票 0

错误:Xst:827 = 信号计数无法合成,同步描述错误

我正在尝试模拟电梯,结果出现错误: ERROR:Xst:827 = 信号计数无法合成,同步描述错误 我正在关注此来源的代码:http...

回答 1 投票 0

在 VHDL 中迭代二维信号

我正在尝试使用生成函数创建一个n位逻辑移位。 实体逻辑移位n是 通用(宽度:正数:=8); 端口(A:在std_logic_vector中(WIDTH-1下降到0); S:在

回答 1 投票 0

如果我在 VHDL 中有一个非时钟驱动的实体,而其他实体是时钟驱动的,会发生什么?

我正在做一个关于使用 VHDL 创建加密块的作业。 在执行此操作时,我需要创建几个基本实体,例如 xor 或 mux,它们用进程和时钟信号进行描述......

回答 1 投票 0

获取modelsim中vhdl实体的依赖关系

我在ModelSim中成功编译了一个大型VHDL设计。设计在这里并不重要,我的问题是关于任何 VHDL 设计的 ModelSim 命令。 现在假设我在那里有一个实体 E1 并且我...

回答 2 投票 0

需要两个球同时显示,VHDL只出现1个球

我正在创建一个程序,以便在同一显示器上实例化两个球,并且它们从显示器的侧面弹起。为了确保它们不会发生在同一位置,我添加了一个通用

回答 1 投票 0

为什么这个代码片段无法合成?

图书馆 ieee; 使用 ieee.std_logic_1164.ALL; 实体 simple_buffer IS 端口(din:IN std_logic; doout : 输出 std_logic ); 结束 simple_buf...

回答 1 投票 0

如何通过API在Sphinx/docutils中创建新文档?

我为 Sphinx 编写了一个新的扩展,作为一个为硬件描述语言 VHDL 提供多个指令、角色和索引的域。此扩展应能够自动记录语言

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.