索引值0到8可能超出前缀范围1到8 - VHDL

问题描述 投票:0回答:1

在我的代码中我定义了这个向量:

Data: in std_logic_vector(1 to 8);

所以我有一个0:7的输入,当它达到0时我解决了一个反问题。但是我的Synthesizer给了我这个警告:

索引值0到8可能超出前缀范围1到8

它会造成错误吗?或者它只是警告说,如果我使用索引0,它可以创建错误?

vhdl synthesizer
1个回答
1
投票

看起来您正在使用在其范围内具有9个值的值(例如signal index : integer range 0 to 8)索引矢量,但您的矢量只有8个值(因此您需要signal index : integer range 1 to 8)。如果您发布使用in的代码,以及索引中涉及的任何信号/值的声明,我们可以提供更多详细信息。

© www.soinside.com 2019 - 2024. All rights reserved.