“if”如何与verilog中的寄存器一起使用?

问题描述 投票:-3回答:1

a = reg [3:0]。 “a”的值是什么值:“if(a)”?寄存器a的哪个单元以先前的格式进行“if”检查?它是仅在a = 0000时返回0还是在(a)= 0?时还有其它值。

if-statement verilog
1个回答
1
投票

如果areg [3:0],它仅在false时评估if声明中的a == 4'b0000

© www.soinside.com 2019 - 2024. All rights reserved.