如何在系统级别使用VUnit

问题描述 投票:0回答:1

我有一个包含多个结构实体的项目,每个结构实体都具有如下图所示的子实体。

enter image description here

现在,我正在尝试计划测试阶段。我检查了UVVM,OSVVM和VUnit,发现VUnit是最简单,最快的启动方法。

[我已经阅读了文档,并对提供的示例进行了一些操作,并且我开始为某些子实体(例如A1,A2,...等)编写一些测试]

我的问题是如何使用VUnit在系统级别进行测试,以及如何构建测试平台?

  • 我应该为所有子实体然后为结构实体,然后是整个系统编写测试吗?
  • 我是否应该将结构实体的测试作为事务编写?
  • 我是否应该在单独的体系结构文件中为所有子实体编写测试,并在顶级测试平台的测试套件中依次运行它们?
  • 还有其他建议吗?
unit-testing vhdl vunit
1个回答
0
投票

这种类型的问题可能会由于基于意见而封闭,因此,我只提供一些一般性的意见,这些意见可以指导您做出决定。

© www.soinside.com 2019 - 2024. All rights reserved.