使用ModelSim时如何在VHDL代码中进行端口映射时使用串联

问题描述 投票:0回答:0

我想在端口映射中串联,但不幸的是,当我在 ModelSim 中编译代码时出现错误,我读到该软件不支持端口映射中的串联。 那时我不知道该怎么做。 这是我的代码(我只是复制了“开始”部分,因为其余部分无关紧要:

signal en_out : std_logic;
signal count : std_logic_vector(2 downto 0);
signal segments_out : std_logic_vector(6 downto 0);

begin
output_1 : clock_divider port map(enable,reset,clk,en_out);
output_2 : counter port map(enable,reset,clk,count);
output_3 : seven_segment_decoder port map('0'&count, segments_out);
**--I want to concatenate here to obtain a 4-bit std_logic_vector**
HEX0 <= segments_out;

end behavioral;

感谢您的帮助

我在 ModelSim 中收到一条错误消息:正式“代码”的实际表达式(中缀表达式)不是全局静态的。

vhdl
© www.soinside.com 2019 - 2024. All rights reserved.