系统verilog中浮点到二进制的转换

问题描述 投票:0回答:1

需要有关在系统 verilog 中将正/负浮点数转换为二进制格式的帮助。 我有以下数字(尝试取 2 的补码,但不知何故没有得到正确的结果)

-38.789062, -0.687500, 0.156250

如果您能提供帮助,我将不胜感激。

system-verilog
1个回答
0
投票

您可以先使用 $ceil 或 $floor 转换为最接近的整数,然后您可以使用有符号强制转换。

x = 有符号'($ceil(float_num))

© www.soinside.com 2019 - 2024. All rights reserved.