错误:找不到 *.vcd 文件。 EPWave 不会打开。您是否使用了 '$dumpfile("dump.vcd"); $dumpvars;'?

问题描述 投票:0回答:0

我在 EDA Playground 上尝试运行我的代码时遇到错误。 没有出现模拟器 找不到 *.vcd 文件。 EPWave 不会打开。您是否使用了 '$dumpfile("dump.vcd"); $dumpvars;'?

这里是链接:https://www.edaplayground.com/x/wzUN

我尝试了几个选项来更改“运行选项”上的命令,但仍然没有任何结果。 如果您看一下代码并让我知道哪里出了问题或我错过了什么,我将不胜感激,因为模拟没有进行。

这里还有代码的作用,只是为了让你有一个想法:在 VHDL 中模拟一个 3 层的停车场系统(每个可容纳 16 辆车)。必须显示每层可用的停车位数量,并且每层必须打开一个 LED 指示三个停车场中的任何一个已满,必须验证 3 层可用的停车位数量并且没有更多车辆当所有停车场已满时,必须允许进入。

vhdl test-bench edaplayground riviera-pro
© www.soinside.com 2019 - 2024. All rights reserved.