[1位全减法器的4位全减]]

问题描述 投票:0回答:1

我正在尝试通过4位模块为我们提供1位全减法器-我对下一步的工作有些困惑,我不确定引擎盖下正在发生什么-我想也许我可以在sub4循环中进行fullsub并遍历每个位并更新in vs out,但是我不确定如何做到这一点。

module fullSub(x, y, b_in, diff, b_out);
  input x, y, b_in;
  output diff, b_out;
  assign diff=(x^y)^b_in;
  assign b_out = (~(x^y)&b_in) | ((~x)&y);
endmodule

module sub4(x, y, b_in, diff, b_out);
  input [3:0] x, y;
  input b_in; 
  output [3:0] diff;
  output b_out;

  fullSub init[3:0](x, y, b_in, diff, b_out);
  assign b_in = b_out;

endmodule

我正在尝试通过4位模块为我们提供1位全减法器-我对下一步的工作有些困惑,我不确定引擎盖下正在发生什么-我想也许我可以循环中的fullsub ...

verilog subtraction
1个回答
0
投票

我使用了以下内容,效果很好-谢谢。

© www.soinside.com 2019 - 2024. All rights reserved.