4x4 乘法器的输出错误

问题描述 投票:0回答:1
module fa(
input a,b,cin,
output reg s,cout
);

always@(*) begin
    s = a^b^cin;
    cout = (a & b) | (b & cin) | (cin & a);
end

endmodule

module multiplier(
input [3:0] a,b,
output [7:0] p
);

wire w01,w10,w20,w11,w02,w30,w21,w12,w03,w31,w22,w13,w32,w23,w33,s1,s2,s3,s4,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10;

assign p[0] = a[0] & b[0];

assign w01 = b[0] & a[1];
assign w10 = b[1] & a[0];
assign w20 = b[2] & a[0];
assign w11 = b[1] & a[1];
assign w02 = b[0] & a[0];
assign w30 = b[3] & a[0];
assign w21 = b[2] & a[1];
assign w12 = b[1] & a[2];
assign w03 = b[0] & a[3];
assign w31 = b[3] & a[1];
assign w22 = b[2] & a[2];
assign w13 = b[1] & a[3];
assign w32 = b[3] & a[2];
assign w23 = b[2] & b[3];
assign w33 = b[3] & a[3];

fa g1(w01,w10,1'b0,p[1],c1);
fa g2(w20,w11,c1,s1,c2);
fa g3(s1,w02,c2,p[2],c3);
fa g4(w30,w21,c3,s2,c4);
fa g5(s2,w12,c4,s3,c5);
fa g6(s3,w03,c5,p[3],c6);
fa g7(w31,w22,c6,s4,c7);
fa g8(s4,w13,c7,p[4],c8);
fa g9(w32,w23,c8,p[5],c9);
fa g10(w33,c9,1'b0,p[6],c10);

assign p[7] = c10;

endmodule

module multiplier_tb;

reg [3:0] a,b;
wire [7:0] p;
integer cnt1,cnt2;

multiplier dut(a,b,p);

initial begin
    for(cnt1 = 0;cnt1<16;cnt1 = cnt1 + 1) begin
        for(cnt2 = 0;cnt2<16;cnt2 = cnt2 + 1) begin
            {a} = cnt1;
            {b} = cnt2;
            #10;
        end
    end
end

endmodule

我多次尝试调试代码以查找逻辑错误,但仍然无法找到它。我被要求在行为建模中使用全加器实现 4x4 乘法器。我认为主要逻辑是正确的,并且我缺少在某处添加进位。我写下要相乘的位并使用全加器将它们相加。我得到了错误的测试用例输出,例如 15x15,我得到的输出为 189。

我的设计

我的想法是使用全加器添加所有项,并添加这样做时出现的进位

verilog
1个回答
0
投票

您的逻辑问题如下,因为您在列中添加了位。想想如果添加以下内容会发生什么:

  1     s  cout
+ 1 --> 0   1
+ 1 --> 0,  1
+ 1 --> 0,  1
====
  0, cout 1 !!!

实际上,您应该至少有两位 cout (10) 才能得到 100 结果。

因此,要么你想出一个聪明的算法来处理多位 cout 并将其传递到下一列,要么你只是进行逐行添加:

  01 << w1
+ 01 << w2
====
  10 << s1
+ 01 << w3
====
  11 << s2
+ 01 << w3
====
 100 << s3
© www.soinside.com 2019 - 2024. All rights reserved.