如何使用DPI-C嵌入Systemverilog Interpreter?

问题描述 投票:0回答:3

问题描述:

我在SystemVerilog中设计并用相同的语言编写测试平台。我希望能够在模拟过程中编译我的设计和测试不同的功能,就像你使用带e的解释器一样。理想情况下,当模拟器撞到某条线时,我会在模拟时弹出一个终端。

潜在的想法:

我看过DPI-C,似乎我必须“导出”项目中的所有任务才能从解释器中运行它们。但是,我不确定如何自动执行此操作,或者是否有更好的方法。此外,我不知道如何让C为我打开第二个shell来输入SystemVerilog(我想要运行)。

这是一个问题,我的同事们回应了这个问题,在编译一个测试平台之间不必等待10分钟就会让生活变得更加痛苦。

c system-verilog verification system-verilog-dpi
3个回答
1
投票

嗨我用另一个例子提供我的两分钱。

示例SV-CODE

 ////////////////////////////////////////////////////////////////////////
 //IMPORT FUNCTION DPI DECLARATION FROM C
 ////////////////////////////////////////////////////////////////////////            
import "DPI-C" function void python_sign_msg(string key_file_name, string msg_file_name, string signed_file_name );
import "DPI-C" function string return_string_in_c(string text, inout string output_txt);    
    

typedef class keycontrol_seq_handles;
//-----------------------
class keycontrol_predictor#(int PARAM_PLACEHOLDER = 1) extends pve_predictor#(keycontrol_seq_handles);//extends uvm_component;
………..
//////////////////////
//USE OF DPI
//////////////////////
string output_c,output2_c;
output_c = return_string_in_c("This text",output2_c); 
python_sign_msg("file.txt","bla","blo");

endclass 

C-CODE

//include DPI
#include "svdpi.h"
//include the IO files
#include <stdio.h>
//include string functions
#include <string.h>
//include use of malloc
#include <stdlib.h>
//include Phyton embed lib
#include <Python.h>
 
 
//to add the ability to use printf
// same inputs as defined in SV with python path which is the defined surrounded by double quotes ""

#ifndef PYTHON_PATH
#error You must define the path to the python file in gcc compiler with -D 'PYTHON_PATH="'$PYTHON_DIR'"' or vlog with -ccflags "-I/usr/include/python2.6/ -D 'PYTHON_PATH=\"$PYTHON_DIR\"'"
#endif
 
 /* function declaration */
void python_sign_msg( char *key_file_name, char *msg_file_name, char *signed_file_name ) {
 
         
          char *append_path = malloc(sizeof(char) * 1000);
          append_path = PYTHON_PATH":.";
          printf("Append to path is:\n%s\n", append_path);           
          setenv("PYTHONPATH",append_path,1);//Set PYTHONPATH TO working directory https://www.ibm.com/support/knowledgecenter/en/SSLTBW_2.1.0/com.ibm.zos.v2r1.bpxbd00/setenv.htm
          char *path = Py_GetPath();
          printf("Python search path is:\n%s\n", path);
          
          int argc;
          char * argv[2];
          char *phyton_script_name = malloc(sizeof(char) * 100);
          phyton_script_name = "test";//don't use the .py extension here
          
          
          argc = 3;//argument count is 3 arguments
          argv[0] = phyton_script_name;//key_file_name;//"mymod";//the argument value vector is
          argv[1] = "4";
          argv[2] = "3";
          
           Py_Initialize();// Initialize the Python Interpreter
           
           //First import python script module name
           
           PySys_SetArgv(argc, argv);//set the previous arguments as calling arguments of the import module
           //PyObject* myPmodule = PyImport_ImportModule("sign_hmac-sha256");//don't put the .py extension here
           PyObject* myPmodule = PyImport_ImportModule(phyton_script_name);//IMPORTANT THE MAIN MODULE IS EXECUTED here with the PySys_SetArgv arguments
           if(myPmodule==NULL)
           {
               printf("Not able to load&execute main phyton script:\n%s\n", phyton_script_name);
               PyErr_Print();
           }
           ///////////////////////////////
           //Extract variables through dict
           //////////////////////////////
           //retrieve all variables and functions of the module in a namespace or dict
           PyObject *module_dict   = PyModule_GetDict(myPmodule);
          
           char *function_name = malloc(sizeof(char) * 100);
           function_name = "suma";//don't use the .py extension here
           //getting the reference to the specific python function you want from the python script
           PyObject* myPfunction = PyObject_GetAttrString(myPmodule, function_name);

           if (PyCallable_Check(myPfunction))
           {
               //EXAMPLE CREATE arguments in Python 
               //PyObject* myPargs=Py_BuildValue("(z)",(char*)"something");
               //PyObject* myPargs = PyTuple_Pack(1,PyFloat_FromDouble(2.0));
               //ok = PyArg_ParseTuple(args, "lls", &k, &l, &s); /* Two longs and a string */
               //PyObject* myPargs = Py_BuildValue("sss",key_file_name,msg_file_name,signed_file_name); /* Three strings */
               //Execute the function with arguments directly
               //PyObject* result = PyObject_CallObject(myPfunction, (char*)"something", (char*)"something", (char*)"something");/* Three strings */               
               //PyObject* myPargs = Py_BuildValue("zz","4" ,"3");
               PyObject* myPargs = Py_BuildValue("ii",4 ,3);
               PyObject* item=PyTuple_GetItem(myPargs,0);//get the item of the tuple position 0 
               printf("Python tuple: %d\n", (int)PyInt_AsSsize_t(item)); /*incase an integer*/
               //printf("Python tuple: %s\n", PyString_AsString(item));
               PyErr_Print();               
               PyObject* result = PyObject_CallObject(myPfunction, myPargs);//the myPargs must be always be a Pyobject               
               
               PyErr_Print();
               Py_DECREF(myPargs);
               Py_DECREF(item);
               Py_DECREF(result);
           } else 
           {
               printf("The function:\n%s\n", function_name);
               //Pring errors comming from Python
               PyErr_Print();
           }
           
           
           ////////////////////////////////////////
           // Clean up phase
           ////////////////////////////////////////
           Py_DECREF(myPmodule);
           Py_DECREF(myPfunction);
           
           
           
           Py_Finalize();// Finish the Python Interpreter
}
 
 /* function declaration text char stream passed as pointer value and text2 passed as pointer reference */
  char * return_string_in_c( char *text,  char **text2) {
    char *fix="This variable cannot be changed although it is a pointer, just as example";/*fix allocation and constant*/
    char dest[50]="Variable array created in a function:";/* String array max 50 chars allocated*/
    char *out = malloc(sizeof(char) * 100);/* Dynamic string max 100 chars allocated*/
    /* Concatenate input text and put in out of strcat*/
    //strcat(out, text);/* initialize out using text string*/
    snprintf(out, sizeof(char) * 100, "%s%s", out,text);
    printf("fix : |%s|,dest : |%s|,text : |%s|,out : |%s|\n", fix,dest,text,out);
    *text2=dest;/* allocate pointer value with dest*/
    *text2=out;/* allocate pointer value with out*/
    return out;
}
 
 /* main */
 void main() {
    char text[100]="from_main_text_variable";/*max 100 chars allocated*/
    char *text2;/* pointer not allocated*/
    char *point = return_string_in_c(text, &text2);/* &text2 passing by reference*/
    printf("Final destination string : |%s|\n", text2);
    printf("point output : |%s|\n", point);       
    
    printf("DEFINED PYTHON_PATH: |%s|\n", PYTHON_PATH);
    python_sign_msg("","","");
    printf("Finished python\n");
    
 }

PYTHON SCRIPT - TEST.py,非常重要的删除TABS !!!!!!

#!/usr/bin/python
# This program adds two numbers
import sys
 
if( len( sys.argv ) < 3 ) :
    raise( Exception( "Usage: test.py number1 number2" ) )
 
num1 = int(sys.argv[ 1 ])
print "sys.argv[ 1 ] : ",int(sys.argv[ 1 ])
num2 = int(sys.argv[ 2 ])
print "sys.argv[ 2 ] : ", int(sys.argv[ 2 ])
 
#the suma function definition
def suma( arg1, arg2 ):
    # Add both the parameters and return them."
    total = arg1 + arg2; # Here total is local variable.
    print "Inside the function local total : ", total
    return total;
 
# Display the suma
print('The sum using suma function of {0} and {1} is {2}'.format(num1, num2, suma(num1,num2)))
 
#num1 = 1.5
#num2 = 6.3
# Add two numbers
sum = float(num1) + float(num2)
# Display the sum
print('The sum of {0} and {1} is {2}'.format(num1, num2, sum))
 
 
#a dummy function definition
def multiply():
   c = 12345*6789
   print 'The result of 12345 x 6789 :', c
   return c

最后,您必须使用供应商流程编译文件。例如,Questa 1)您使用ccflags编译C代码并引入要添加的定义。在我们的例子中,我们的C代码需要定义PYTHON_PATH

vlog $DUT_VLOG_ARGS ${TB_DIR}/your_C_code.c -ccflags "-I/usr/include/python2.6/  -D 'PYTHON_PATH=\"$PYTHON_DIR\"'"

2)在Questa中,如果你有python,你必须调用vsim包括-ldflags'-lpython2.6'之类的东西:

vsim -ldflags '-lpython2.6'  -voptargs="+acc" -solvefaildebug -assertdebug -onfinish stop +UVM_TESTNAME=${TESTCASE_STRING}  yourtop_tb_top \

Synopsys VCS 1)您使用ccflags编译C代码并引入要添加的定义。在我们的例子中,我们的C代码需要定义PYTHON_PATH

#GCC in two steps for shared object
gcc -g -D 'PYTHON_PATH="'$PYTHON_DIR'"'  -fPIC -Wall -I${VCS_HOME}/include -I/usr/include/python2.6/ -lpython2.6 -c ${PROJECTDIR}/verification/PVE/keycontrol/tb/keycontrol_C_code_wrapper.c 
gcc -fPIC -shared -o keycontrol_C_code_wrapper.so  keycontrol_C_code_wrapper.o 

2)你做了VCS阐述,链接python lybrary和-LDFLAGS'-lpython2.6'

vcs -timescale=1ps/1ps -ntb_opts uvm -lca -kdb -full64 keycontrol_tb_top -debug_access+all+reverse  -LDFLAGS '-lpython2.6'

3)运行创建的模拟文件。您调用simv包括-sv_lib keycontrol_C_code_wrapper来导入C共享对象。

#RUN C CODE
./simv -gui -ucli +DVE +UVM_NO_RELNOTES  -l simv.log  +UVM_TESTNAME=keycontrol_basic_test -do ../../verification/PVE/keycontrol/tools/keycontrol_ucli_init.synopsys -sv_lib keycontrol_C_code_wrapper

另一种工具将有另一种流程。

嵌入python是一种比python脚本中的FILE IO更高效[/ b]的解决方案。

如果您的python脚本从文件中读取输入和输出,那么从Systemverilog调用python的最简单方法就是进行系统调用。

$system("python yourscript.py filenamein filenameout ")

您当然要在systemverilog中写入您的输入文件,并在systemverilog中读取输出文件以进行比较。


1
投票

怎么样去模拟器的交互式命令行终端。这不是典型的shell终端,例如unix。它是与供应商特定的交互模式绑定到模拟器中。在大多数情况下,它是由Verilog的$stop引发的。它是特定于供应商的,因此您需要参考手册中的所有功能,有些功能允许调用Verilog / SystemVerilog中定义的任务和功能。

听起来你不需要在一次模拟中运行所有条件。编译一次并运行许多策略应该适用于您的情况。 SystemVerilog系统函数$test$plusargs$value$plusargs可以检测用于启动模拟的参数。请参阅IEEE Std 1800-2012§21.6命令行输入

// ...
int testid;
// ...
initial begin
  // ...
  if(!$value$pluseargs("TESTID=%d",testid)) begin
    // code if +TESTID= is not an argument
  end
  case(testid)
  0 : run_task_test0(/*...*/);
  1 : run_task_test1(/*...*/);
  2 : run_task_test2(/*...*/);
  3 : run_task_test3(/*...*/);
  // ...
  endcase
  // ...
end

然后编译一次并根据需要多次启动模拟。

% [compile-cmd]
% [sim-cmd] +TESTID=0
% [sim-cmd] +TESTID=3
% [sim-cmd] +TESTID=1
% [sim-cmd] +TESTID=2

0
投票

最好的选择是使用DPI和某种脚本语言进行测试。例如,我已经看到了这项工作:Python - > Boost.Python - > C ++ - > DPI - > Verilog / SystemVerilog

它确实限制了你在测试中可以做的事情(将它作为两种语言之间的TLM接口,即只在它们之间来回传递事务),但实际上这通常会迫使你使用好的抽象实践。阅读Python中的“yield”,了解如何在python和模拟器之间来回传递控制。

© www.soinside.com 2019 - 2024. All rights reserved.