VIM中的自动完成Systemverilog

问题描述 投票:2回答:2

我使用VIM作为SystemVerilog的编辑器。我有三个问题。

在一些帖子中,我看到VIM有一个自动完成功能。

1.如何为VIM中的Systemverilog文件启用自动完成功能?

2.如何自动缩进VIM中的选定部分?

3.如何在VIM中启用折叠?

我正在使用Qazxswpoi在VIM中为Systemverilog创建的插件

vim autocomplete system-verilog folding auto-indent
2个回答
1
投票

请尝试我的Nachum Kanovsky fork,我尝试实现此功能。

注意:此功能仍有限制,因此请打开请求功能和/或报告错误的问题。


3
投票

为了以防万一,请确保在verilog_systemverilog.vim中有这两行:

~/.vimrc

假设链接插件已安装且工作正常,这将为每种支持的语言提供适当的缩进和语法突出显示。

  1. 我在vim.org上找不到特定于Systemverilog的omnicompletion脚本,但您仍然可以使用基于语法的基本完成。将这些行添加到filetype plugin indent on syntax on ~/.vimrc 连续按Ctrl + XCtrl + O激活完成菜单。 见augroup Systemverilog autocmd! autocmd FileType systemverilog setlocal omnifunc=syntaxcomplete#Complete augroup END
  2. “自动缩进”应自动发生。如果您想要“格式化”,请选择几行并按:help ins-completion
  3. 修改上面的自动命令: =
© www.soinside.com 2019 - 2024. All rights reserved.