我可以在程序中新建动态数组吗?

问题描述 投票:0回答:1
program test();
logic [7:0] a[];
a = new[10];
endprogram

我尝试在程序中new一个动态数组,并通过vcs编译它但失败了。

错误提示: **以下 verilog 源代码有语法错误:“test1.sv”,20:标记为“=”

a = 新[10]; **

system-verilog
1个回答
0
投票
a = new[10];

这是一个过程语句,因此应该将其放在某种过程块中,例如

initial
块:

program test();
    logic [7:0] a[];
    initial begin
        a = new[10];
    end
endprogram
© www.soinside.com 2019 - 2024. All rights reserved.