在类型泛型中使用记录

问题描述 投票:0回答:2

我正在努力使用泛型来定义组件的接口(

port
)的记录。在一个较旧的问题中,我被指出使用类型泛型。但是我不知道如何访问记录的字段。 这里是提倡使用泛型类型记录的答案

假设有以下组件:

entity genericInput is
    generic(
        type recordType
    );
    port(
            result: integer;
        port: in recordType
    );
end entity;

architecture behav of genericInput is
begin
    result <= port.part1;
end architecture;

这个实例化:

type myRecord is record
    part1: integer;
    part2: std_logic_vector(1 to 100)
end record;

inst: genericInput 
    generic map(recordType <= myRecord)
    port map(...)

我从 modelsim 收到编译错误:

** Error: ****.vhd(21): Unknown expanded name. --line on which i attempt port.part1
** Error: ****.vhd(22): VHDL Compiler exiting

假设记录始终具有该名称的字段(vhdl2008 可用),如何访问由泛型提供的记录字段? (我不想改变记录中向量的宽度)?


重新措辞的问题:将具有通用宽度向量的记录集成到实体的端口中的最佳方法是什么?

vhdl
2个回答
1
投票

第一:

  • PORT
    是一个关键字。您不能将其用作端口的名称。如果您在论坛上发布之前修剪代码,那么如果您也对其进行测试,我们将不胜感激。 (让它VETSMOD
  • 您使用的是哪个版本的 ModelSim?您确定它支持 VHDL 2008 吗?您确定它支持实体中的泛型吗?

回答你的问题:

在实体(和架构)内部,对数据类型一无所知。您只能通过作为通用参数传递的函数或过程来访问它。但目前不确定 ModelSim 真正支持了多少。


© www.soinside.com 2019 - 2024. All rights reserved.