我正在尝试使用vsim命令中的-g开关将多个VHDL泛型传递到Modelsim 10.7b中的测试台。我如何传递多个泛型,其中所有泛型都在另一个字符串/文件中定义。
简而言之:当我使用
set generics "-gHEIGHT=1 -gWIDTH=2"
vsim $generic work.test
只有第一个通用名称(即HEIGHT)正在更改。 WIDTH未获得值2。它保持为在实体初始化的值。
test.vhd文件:
library IEEE;
use IEEE.std_logic_1164.all;
entity test is
generic (
HEIGHT : integer := 0;
WIDTH : integer := 0);
port (
clk : in std_logic);
end entity test;
architecture arch of test is
begin -- architecture arch
end architecture arch;
用于运行sim的命令:
vlib work
vmap work work
vcom test.vhd
set generics "-gHEIGHT=1 -gWIDTH=2"
使用-g开关将两个泛型成功传递到vhdl文件的vsim命令:
vsim -gHEIGHT=1 -gWIDTH=2 work.test
vsim命令,其中仅将字符串generic中的第一个泛型传递到vhdl ..即,仅传递HEIGHT:]
vsim $generics work.test
我正在尝试通过vsim命令从python传递多个(〜10)泛型。因此,我无法在执行模拟的vsim命令中列出泛型名称。
我正在尝试使用vsim命令中的-g开关将多个VHDL泛型传递到Modelsim 10.7b中的测试台。我如何传递多个泛型,其中所有泛型都在另一个字符串中定义/ ...
要将单个变量中包含的多个参数传递给命令,请使用{*}
展开变量:
与eval "vsim $generics work.test"
一起使用