vhdl中是否有一个函数可以检查端口是连接还是打开?

问题描述 投票:0回答:1

在VHDL中,允许一个组件的输出端口处于开放状态。是否有一个函数可以从组件内部检测到,从而可以生成其他硬件?

我不想在优化步骤中等待,因为我想在端口打开的情况下修改硬件。

具体来说,我正在生成一个带差分输出的组件,我想在_P和_N都连接的情况下包含一个OBUFDS,而我不想在_N未打开的情况下包含该组件。

vhdl
1个回答
2
投票

不,没有。一般情况下,会用一个通用的函数来处理这个问题。

© www.soinside.com 2019 - 2024. All rights reserved.