modelsim wave 为空且 msg 显示“xxx”

问题描述 投票:0回答:1

我正在 Modelsim 上运行 verilog 文件,但是波形区域是空的并显示“xxxxxx”消息。一般来说,我对 Verilog/Modelsim/Quartus 非常陌生,任何帮助将不胜感激! 代码:

module lfsr_prng (input clk, 
                        rst, 
                        output reg[2:0] out); 
                        
reg [5:0] lfsr; 

  always @(posedge clk or posedge rst) begin
    if (rst) begin
      // Reset the LFSR on rising edge of reset
      lfsr <= 6'b000000;
    end 
     else begin
      // LFSR feedback logic
      lfsr[5:1] <= lfsr[4:0];
      lfsr[0]   <= lfsr[5] ^ lfsr[4] ^ 1'b1;

      // Assign output bits
      out[2] <= lfsr[2];
      out[1] <= lfsr[4];
      out[0] <= lfsr[0];
    end
  end

endmodule

modelsim screenshot:

我的代码中的输出是否导致波形区域上没有显示任何内容?

verilog modelsim
1个回答
0
投票

您需要运行模拟。在 modelsim 的转录窗口中执行

run -a

© www.soinside.com 2019 - 2024. All rights reserved.