在Verilog中的位向量中查找前1

问题描述 投票:0回答:1

我对verilog还是很陌生,他试图编写一个程序来查找给定位置后的第一位。因此在数组[0,0,1,0,0,0,0,1,0,0,1,0]中,如果我的指针位于0,想找到1。这是我的要求:

  1. 如果在指针后面的数组中未找到任何条目,我想从数组的开头开始搜索以找到1。

  2. 该数组将比我需要检查的值数量大得多。因此,对于大小为N的数组,仅需要检查前M位(其中M

我幼稚的解决方案是从索引循环到末尾并返回第一个。如果找不到,请从头开始直到返回1。但是我只是想知道是否有一个更聪明的低延迟解决方案?

verilog hdl
1个回答
0
投票

我认为适当的方法将取决于位数,以及需要多长时间才能得到结果。

© www.soinside.com 2019 - 2024. All rights reserved.