配置ModelSim仿真以显示文本

问题描述 投票:6回答:2

我可以进行ModelSim仿真以在信号上显示文本(而不是数字值吗?我有几个状态机状态,

localparam S_IDLE  = 2'b00; 
localparam S_START = 2'b01; 
localparam S_STOP  = 2'b10;

例如,是否有一种方法可以在信号而不是00上显示S_IDLE?谢谢。

verilog modelsim
2个回答
7
投票

您可以做的,应该在所有模拟器上都可以完成的工作是创建一个包含ascii字符串的信号,然后在模拟窗口中将该信号的基数更改为ascii:

reg [8*8-1:0] mytextsignal;
always@(state) begin 
    case(state) 
        S_IDLE : mytextsignal = "  S_IDLE";
        S_START: mytextsignal = " S_START";
        S_STOP:  mytextsignal = "  S_STOP";
        default: mytextsignal = " UNKNOWN";
     endcase
 end

它应该在波形查看器中显示为可读文本。


0
投票

在Modelsim中,您可以使用以下步骤添加FSM:

  1. 在编译期间使用FSM识别和FSM覆盖选项(+acc+cover),
  2. 使用vsim命令行上的-fsmdebug-coverage选项。

检查ModelSim User's Manual了解更多详细信息。请注意,使用View > FSM list可以检查ModelSim检测到的所有FSM,并将其添加到wave中。

© www.soinside.com 2019 - 2024. All rights reserved.