对unpacked数组的赋值必须是聚合表达式:SystemVerilog

问题描述 投票:0回答:1
reg [7:0] num [0:15]={8'd64,8'd121,8'd36,8'd48,8'd25,8'd18,8'd2,8'd120,8'd0,8'd24,8'd8,8'd3,8'd35,8'd33,8'd4,8'd14};

我的代码的这一特定行给出以下错误:对解压缩数组的赋值必须是聚合表达式。怎么克服这个?

system-verilog hdl
1个回答
0
投票

你需要在领先的'之前添加一个{

reg [7:0] num [0:15]='{8'd64,8'd121,8'd36,8'd48,8'd25,8'd18,8'd2,8'd120,8'd0,8'd24,8'd8,8'd3,8'd35,8'd33,8'd4,8'd14};
© www.soinside.com 2019 - 2024. All rights reserved.