[modelsim命令在Verilog测试平台中选择特定测试

问题描述 投票:0回答:1

我有4个测试模式,所有模式都写在测试台的case语句中。在仿真过程中如何通过命令行一次调用每个测试?让我知道在仿真过程中一次选择一个测试平台案例的命令行参数。谢谢

case verilog command-line-arguments modelsim
1个回答
0
投票

我将为此使用“定义”或$ test $ plusargs和$ value $ plusargs。

您可以使用+ define +参数在命令行上定义值的值。

+define+TEST_TO_RUN="4"

在您的代码中,您现在可以使用以下方法获取值:

case(`TEST_TO_RUN)
0 : ...
4 : ...
default: // default test or giving error message and stop 
endcase

但是您必须重新编译代码。您也可以在代码中设置一个值,但命令行定义始终会覆盖该值。

替代使用$ test $ plusargs和$ value $ plusargs。

您还可以找到有关所有here的信息

© www.soinside.com 2019 - 2024. All rights reserved.