如何管理VHDL测试平台的复位信号?

问题描述 投票:0回答:2

我有一个非常简单的 vhdl 测试平台,应该可以运行。 我的组件都有一个重置信号,因此寄存器设置为 0,其他组件正确初始化...但是...如果我创建一个公共信号仅在第一个时钟周期内重置所有组件,我怎么能告诉信号在第一个时钟周期后下降并且不再上升??????

我知道这是一个愚蠢的问题,但是,你会怎么做?谢谢你。

signals reset vhdl object-test-bench
2个回答
6
投票
reset <= '1', '0' after 10 ns;

0
投票

如果需要更多地控制重置时序的长度,则可以使用以下方法

重置<= '1', '0' after 25 ns, '1' after 35 ns ;

© www.soinside.com 2019 - 2024. All rights reserved.