将modelsim intel starter VHDL版本设置为2008

问题描述 投票:0回答:2

我正在尝试使用

VHDL 2008
中的东西(当然可以用2002年完成,但2008年干净得多)。如果我在命令行中手动编译文件并设置版本,它会成功,但尝试让 modelsim 编译它会失败,因为版本设置为 2002。

当我尝试访问编译选项时,在编译菜单中,它呈灰色。

Modelsim 版本是 10.5b intel starter (因此刚刚更新)并且这些文件是项目的一部分。这是付费功能,还是我做错了什么?

vhdl modelsim
2个回答
3
投票

您可以通过 GUI 来完成此操作,方法是 右键单击要在项目视图中使用 VHDL 2008 进行编译的文件,然后选择 属性... 访问项目编译器设置

然后选择 VHDL > 语言语法 > 使用 1076-2008


0
投票

使用命令

vcom -2008 filename.vhd

这将迫使 Modelsim 使用 VHDL 2008

© www.soinside.com 2019 - 2024. All rights reserved.