在Mac OS X 10.6.8上用于编译和模拟Verilog程序的内容?

问题描述 投票:6回答:1

作为课程大纲的一部分,我必须模拟Verilog程序。但是,我的大学使用Xilinx ISE,它不适用于Mac。

因此,请帮助我获得最佳软件,以及有关如何安装和使用它们的一些详细步骤。

macos verilog hdl
1个回答
7
投票

您可以尝试Icarus Verilog,这是一个免费的Verilog模拟器。

根据说明here,您可以在Mac OS X上安装Icarus Verilog。

© www.soinside.com 2019 - 2024. All rights reserved.