为什么实例化的模块经常被赋予网名“ u”?

问题描述 投票:0回答:1

[在行业中,在许多教程中,例如this onecounter U(clk,enable,reset,data);)和this oneadder_hier U (result,carry,r1,r2,ci);),模块通常称为u或使用前缀/后缀u_。我一直接受这个,但是我不确定它代表什么。

u是什么意思?我在网上找不到任何信息,对Google来说这是一件棘手的事情。

Edit:我意识到这不是一个明确的编程问题,但是SO带有用于术语和命名约定的标签,因此我认为应该提出来。这被标记为“基于观点”,我当然认为不是。

verilog naming-conventions terminology hdl
1个回答
2
投票

这是来自IEEE / ASME标准,用于在印刷电路板上命名组件(参考代号):R电阻器,C电容器,U集成电路。我假设像在许多其他标准中一样,不使用IO,因为它们很容易与10混淆。

随着原理图从PCB逐渐演变为IC,这种命名约定一直存在。

请参见https://www.asme.org/products/codes-standards/reference-designations-for-electrical-and-electronhttps://standards.ieee.org/standard/315-1975.html

© www.soinside.com 2019 - 2024. All rights reserved.