您能帮我理解VHDL中的parrallelisme吗?

问题描述 投票:0回答:2

我知道在一个过程中指令是按顺序执行的,并且信号的值要等到过程结束时才更新,但是我不能理解并行性的原理吗?例如,在下面的代码中,我知道两条指令将同时执行(但同时执行),但我不知道Q是否具有Sig2的新值或先验概率,当我们计算Sig2时,是否也要使用新值是Sig1还是先例?

Sig1<=a and b; Sig2<=Sig1 and a; Q<=Sig2;

concurrency vhdl fpga hdl
2个回答
0
投票
由于VHDL使用事件驱动的语义,因此实际上没有并行执行。它只是具有并行性的外观。只要RHS操作数发生更改,您显示的并发分配就会执行-没有隐含的顺序。如果a从1更改为0,则无法确定前两个语句的执行顺序。可能首先执行2

nd

© www.soinside.com 2019 - 2024. All rights reserved.