如何查看波形中的SystemVerilog动态数组

问题描述 投票:0回答:1

我正在尝试使用带动态数组和队列的SV实现FIFO。但是,我无法在waveviewer中查看动态数组/队列的波形。没有人知道如何查看动态阵列的波形吗??

在EDA Playground中的结果:

[错误消息:[2020-05-28 01:53:22 EDT] iverilog'-Wall''-g2012'design.sv testbench.sv &&取消缓冲vvp a.outivl:eval_object.c:65:eval_darray_new:断言0失败。中止(核心已弃用)预期退出代码:0,已收到:1

在SNPS VCS中:由于无法在FSDB中找到某些信号而无法将某些信号添加到波形中。

 module dynamic_array_data();
      reg [7:0] mem [];
      initial begin
      $dumpfile("dump.vcd");
      $dumpvars;
      #10000 $finish;
    end
      initial begin
      mem = new[4];
      for (int i = 0; i < 4; i ++) begin
      mem[i] = i;
      end
      end
    endmodule
arrays verilog system-verilog fifo eda
1个回答
0
投票

VCD文本格式从未得到增强,无法支持SystemVerilog引入Verilog的许多数据类型,尤其是没有一种动态大小的数组。

由于EDAPlayground依赖于$dumpvars中的VCD文件来显示波形,因此您将无法使用EDAPlayground上的任何工具。>>

© www.soinside.com 2019 - 2024. All rights reserved.